Forum: FPGA, VHDL & Co. ?Anfänger: CPLD mit bidirektionalen Ports?


von Ingolf Geißler (Gast)


Lesenswert?

Hallo Gemeinde!


Seit einigen Wochen schwirrt in meinem Kopf eine Schaltung mit 64 
I/O-Leitungen herum.
Als TTL-Variante würde das in einem unüberschaubarem IC-Grab enden.
Nun gut es gibt da ja aber diese Mega-GALs - die CPLD's.

Da ich mich mit den Teilen aber (noch-) nicht auskenne, habe ich da noch 
einige allgemeine Fragen.

Kann ich die Ports so konfigurieren, dass sie per extra DIR-Leitung die 
Datenrichtung ändern - also einen bidirektionalen Port bilden?

Wie errechnet sich die Anzahl der benötigten Makro-Zellen?

Kann man die Port-Leitungen auch hochohmig schalten?



Herzlichen Dank & Gruß

Ingolf

von Falk B. (falk)


Lesenswert?

@ Ingolf Geißler (Gast)

>Kann ich die Ports so konfigurieren, dass sie per extra DIR-Leitung die
>Datenrichtung ändern - also einen bidirektionalen Port bilden?

Ja.

>Wie errechnet sich die Anzahl der benötigten Makro-Zellen?

Ist nicht so einfach. Am besten lass das die Software machen. Die 
Aussage ist dann auch verbindlich. Pi Mal Daumen gilt.

1 Macrozelle = 1 FlipFlop + grosser Logikdekoder.

8 Bit Ausgangsport = 8 Makrozellen
8 Bit IO Port mit Richtungsumschaltung = 8+8 = 16 Makrozellen.

etc. pp

>Kann man die Port-Leitungen auch hochohmig schalten?

Ja.

MFG
Falk

von Ingolf Geißler (Gast)


Lesenswert?

Hi Falk,


Danke für die Infos.


Es ist also möglich ->

Startschuß!

So dann werd ich mal die Windoom-Kiste anwerfen und mich etwas mit der 
Sache vertraut machen.

Bessten Dank & Gruss


Ingolf

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.