Forum: FPGA, VHDL & Co. Quartus II / Analoge Ausgabe im Simulator


von Denis (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

ich habe einen CORDIC-basierenden Sinus-Generator in VHDL geschrieben 
und möchte diesen im Quartus II Simulator testen. Prinzipiel 
funktioniert auch alles. Da ich aber (noch) keine Hardware besitze, wäre 
es schön, die Ausgabe im Simulator anstelle der "Zahlenkolonnen" analog 
anzeigen zu können (wie in SignalTap II).
Ich habe gesehen, dass man im Vecor-Waveform-Editor von Quartus II die 
Ausgabe auf "Analog Waveform..." umstellen kann (Kontext-Menü des 
Signals -> Display Format -> Analog Waveform...). Leider ändert sich 
aber an der generierten Ausgabe nichts.
Ist es prinzipiel möglich eine analoge Anzeige zu generieren und wenn ja 
- wie?

Vielen Dank!

Gruss,

Denis

von ----- (Gast)


Lesenswert?

Falls du deine Schaltung in vhdl implementiert hast, kannst du auch mit 
Modelsim simulieren (gratis bei Altera zu beziehen).

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.