Forum: FPGA, VHDL & Co. [SS] zusätzlicher Pin wird nicht übernommen


von Siegfried S. (dieleena)


Lesenswert?

Hallo, guten abend

Habe in ein Xilinx Prujekt einen zusätzlichen Input-Pin eingetragen. 
Dieser wird jedoch nach den compilieren nicht in die *.ucf bzw Pinliste 
übernommen. Im Design-Browser und Object List I/O Pin sowie in ModelSim 
ist dieser vorhanden. Habe mehrmals die Dateien bis auf *.vhd gelöscht, 
ohne Erfolg.

es handelt sich um diese IO/PIN  ProPic

entity PicStellpultAdressDecoder is
    Port ( ClkBus :    in    STD_LOGIC;
           RstBus :    in    STD_LOGIC;
           AdrBus :    in    STD_LOGIC;
           SdiBus :    in    STD_LOGIC;
           EnBus :             in    STD_LOGIC;
           SdoBus :    out   STD_LOGIC;
----
           RstInt :    in    STD_LOGIC;
----
           ProgPic :    in  STD_LOGIC;
           RstPic :    out    STD_LOGIC;
           AdrPic :    in  STD_LOGIC;
           SdiPic :    out  STD_LOGIC;
           SdoPic :    in   STD_LOGIC;
           EnPic :             out   STD_LOGIC;
           EnLed :             out   STD_LOGIC;
    ClkPic :           out   STD_LOGIC);

end PicStellpultAdressDecoder;

------------------------------------------------------------------------ 
--
--  Serielle Datenübertragung  --> Modull - Adresse - PIC <--
--> die Modull-Zugriff-Adresse von dem PIC in einen Buffer übertragen 
<--
------------------------------------------------------------------------ 
--
process(RstBus, RstInt, ProgPic, AdrPic, SdoPic)
begin
  if RstBus = '0' or RstInt = '0' then
    ShiftPic <= "00000000";
  elsif rising_edge(ProPic) then
    if AdrPic = '1' then
      ShiftPic <= ShiftPic(6 downto 0) & SdoPic;
    end if;
  end if;
end process;



gruß Siegfried

von Siegfried S. (dieleena)


Lesenswert?

Hallo,
hier noch die *.ucf

#PACE: Start of Constraints generated by PACE
#PACE: Start of PACE I/O Pin Assignments
#PACE: Start of PACE Area Constraints
#PACE: Start of PACE Prohibit Constraints
#PACE: End of Constraints generated by PACE

#PINLOCK_BEGIN

#Tue Jan 15 19:01:10 2008

NET "AdrBus"         LOC =  "S:PIN3";
NET "AdrPic"         LOC =  "S:PIN29";
NET "ClkBus"         LOC =  "S:PIN43";
NET "EnBus"          LOC =  "S:PIN2";
NET "RstBus"         LOC =  "S:PIN31";
NET "RstInt"         LOC =  "S:PIN6";
NET "SdiBus"         LOC =  "S:PIN28";
NET "SdoPic"         LOC =  "S:PIN7";
NET "ClkPic"         LOC =  "S:PIN39";
NET "EnLed"          LOC =  "S:PIN41";
NET "EnPic"          LOC =  "S:PIN5";
NET "RstPic"         LOC =  "S:PIN13";
NET "SdiPic"         LOC =  "S:PIN30";
NET "SdoBus"         LOC =  "S:PIN22";
#PINLOCK_END

----
habe das Projekt komplett neu angelegt, leider ohne erfolg. " ProgPic  " 
fehlt!!!
ist Xilinx ISE 8.2 zeitlich beschrenkt?


Gruß Siegfried

von Gast (Gast)


Lesenswert?

Und im PACE ist er nicht zu sehen und einem Pin zuzuordnen?
Ansonsten manuell in die *.ucf eintragen.

von Siegfried S. (dieleena)


Lesenswert?

Hallo,
Habe gestern abend auf die schnelle einen zusätzlichen Output-Pin 
angelegt.
Nach der Zeile "ShiftPic <= ShiftPic(6 downto 0) & SdoPic;" habe ich 
diesen angesprochen. Danach sind alle Pin vorhanden.
Sehr unverständlich!
Gruß Siegfried

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.