Forum: FPGA, VHDL & Co. Bit in Vektor setzen / schieben


von Chris R. (mrgreen)


Lesenswert?

Hallo,
wie kann ich eine 1 an die Stelle n in einem Vektor schieben?

Anders ausgedrückt: Ich will das n-te Bit in einem Vektor setzen.

Ich wollte es mit sll machen, zweifle aber daran, dass das so klappt.

Eine ander Möglichkeit wäre ein case, aber der ist hier doch furchtbar 
hässlch.

MfG
Mr.Green

von Mathi (Gast)


Lesenswert?

Wieso jetzt Du es nicht direkt:

vector(pos) <= '1';

von Chris R. (mrgreen)


Lesenswert?

Weil ich den Vektor vor lauter Bits nicht gesehen habe.

Danke!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.