Forum: FPGA, VHDL & Co. Error: Node instance "U1" instantiates undefined entity "RAM


von Plau S. (radih)


Lesenswert?

Hallo

ich habe einen fertigen BlockRAM bekommen, bekomme aber beim kompilieren 
diese Fehlermeldung:

Error: Node instance "U1" instantiates undefined entity "RAMB4_S1"

der BlockRAM enthaelt folgendes:

component RAMB4_S1 is

  generic(

    INIT_00 : BIT_VECTOR := 
X"0000000000000000000000000000000000000000000000000000000000000000";

    INIT_01 : BIT_VECTOR := 
X"0000000000000000000000000000000000000000000000000000000000000000";

    INIT_02 : BIT_VECTOR := 
X"0000000000000000000000000000000000000000000000000000000000000000";

    INIT_03 : BIT_VECTOR := 
X"0000000000000000000000000000000000000000000000000000000000000000";

...u.s.w.
 );

  port (

    ADDR : in STD_LOGIC_VECTOR(11 downto 0);

    CLK : in std_ulogic;

    DI : in STD_LOGIC_VECTOR(0 downto 0);

    EN : in std_ulogic;

    RST : in std_ulogic;

    WE : in std_ulogic;

    DO : out STD_LOGIC_VECTOR(0 downto 0));

end component;



Wo ist den dieser RAMB4_S1 definiert ???

Danke im voraus

von Falk B. (falk)


Lesenswert?

@  Plau Sein (radih)

>Wo ist den dieser RAMB4_S1 definiert ???

In der unisim Bibliothek von Xilinx. Die musst du einbinden. In den VHDL 
Vorlagen beim ISE sind die schon drin, allerdings auskommentiert. 
Einfach Kommentarzeichen wegmachen und es müsste gehen.

MfG
Falk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.