Forum: FPGA, VHDL & Co. IO Register nur bei dem LVDS Pin-P gesetzt


von Jan (Gast)


Lesenswert?

Hallo,

ich habe mehrere LVDS Signale bei denen ich iob = True gesetzt habe.

Allerdings steht im Pad Report das immer nur der P-Pin mit einem IO 
Register ausgestattet wurden ist. Im UCF steht aber mit Sicherheit auch 
der N Pin drin.

Wie kann das sein?

von Sssss (Gast)


Lesenswert?

ich vermute mal Xilinx ? ich hab das mal so gemacht:

ucf:
NET "din_p"  LOC = "D6" | IOSTANDARD = LVDS_25 ;
NET "din_n"  LOC = "E6" | IOSTANDARD = LVDS_25 ;

vhdl:

i/o definition im top modul:
din_n  : in  STD_LOGIC;
din_p  : in  STD_LOGIC;

internes signal:
din_i : std_logic;

buf_din : IBUFDS
  generic map (IOSTANDARD => "LVDS_25")
  port map (I  => din_p,IB => din_n, O => din_i);

nun din_i verwenden

Wie man das ohne das intantiieren vom ibuf macht hab ich noch nicht 
rausgefunden :-\


achja, fuer den output gehts so:
buf_sout : OBUFDS
  generic map (IOSTANDARD => "LVDS_25")
  port map (I  => sout_i, O => sout_p, OB => sout_n);

von Reto (Gast)


Lesenswert?

Wie macht man das bei Altera? Welchen Buffen muss man da benutzen ?

von Gast (Gast)


Lesenswert?

IOBUFDIFF heisst der glaube ich.

von ---- (Gast)


Lesenswert?

Bei Altera braucht man keine Buffer, einfach die Konfiguration im 
"assignment editor" festlegen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.