Forum: FPGA, VHDL & Co. Integer in einzelne Ziffern zerlegen


von Nik N. (neusser)


Lesenswert?

Hallo,

ist es mit vhdl irgendwie möglich eine integer (unsigned) Zahl in die 
einzelnen Ziffern zu zerlegen.
Hab jetzt schon alles mögliche probiert was auch in der Simulation 
klappt aber sich eben nicht synthetisieren lässt.


Bsp:
variable data : integer range 0 to 9999:= 5678;

Am Ende sollte folgendes rauskommen.
d1 = 5
d2 = 6
d3 = 7
d4 = 8

Danke für alle Kommentare!

von Stefanie B. (sbs)


Lesenswert?

Mal eine Allgemeine Frage zu VHDL:
Das ist doch eine Hardware-Beschreibungssprache?
Dann kann man doch Algorithmen implementieren, oder?

Gruss
Stefan

von Krys D. (cumber_s)


Lesenswert?

Die Aufgabe kenn ich ;-)
War bei uns mal SO in einem Labor dieses Semester verlangt.
Den bericht hab ich aber nicht(hab ihn aber nciht abgeholt).

Ich sag nur mal als stichwort Finit sate Machine ;-)
Wenn du die den Verlauf mit den zuständen Moore/mealy aufzeichest kannst 
den vhdl code eigentlich so aufmalen ;-)
glaub mir ist kein Problem.

Warum cih das nciht mache? mhh, hab grad kein papier kein vhdl hier auf 
dem rechner und ich bin müde ;-)

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Stichwort "Binär zu BCD-Umwandlung". Dazu sollte sich was im Forum 
finden lassen.

@Stefan B.: Ja, Algorithmen kann man als reine Logiknetze (nur in 
trivialen Fällen) oder Zustandsautomaten implementieren.

von Krys D. (cumber_s)


Lesenswert?

@andreas Schwarz:
DANKE! stand grad auf dem schlauch :-D
Binär nach BCD sag ich auch...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.