Forum: FPGA, VHDL & Co. Bit setzen in einem Vektor


von Guest (Gast)


Lesenswert?

Hallo zusammen
Ich arbeite mit einer Zählvariable i und mit einem Vektor. Je nach Wert 
der Zählvariable muss dich das entsprechende Bit in dem Vektor setzen. 
Hat bsp. i den Wert 2, so muss das Bit 2 des Vektors auf 1 oder 0 
gesetzt werden.
Weiss jemand wie ich das programmieren könnte?

Danke im Voraus

von Mathi (Gast)


Lesenswert?

1
signal dein_vector : std_logic_vector(7 downto 0);
2
3
dein_vector(i) <= '1';

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.