Forum: FPGA, VHDL & Co. je nach generic verschiedene Komponenten einbinden


von Mue (Gast)


Lesenswert?

Hallo,

kann man in Abhängigkeit eines generic-Parameters verschiedene 
Komopnenten einbinden? Sprich ist der Wert 0 wird Komponente A 
verwendet, ist er 1 Komponente B.

Ich meine soetwas schon mal irgendwo gesehen zu haben, finds aber net 
mehr.

VG Mue

von Kest (Gast)


Lesenswert?

Wieso sollte es nicht gehen? :-o Mache ich seit Ewigkeiten so

BlaBla: if cCONSTANT = 1 generate
    bla : entity work.irgendeinzeug
      generic map (
        DATA_WIDTH => DATA_WIDTH)
      port map (
        clk      => clk
        rstn     => '1',
        DATA_ENA => '1');
end generate BlaBla


Grüße,
Kest

von Mue (Gast)


Lesenswert?

hmmm, ich kannte den Ausdruck nur in Verbindung mit einer for-schleife.

Gibts da noch mehr Möglichkeiten mit generate etwas anzustellen?

Hab Dank.

von Sym (Gast)


Lesenswert?

Schon mal was von configuration files gehört?

Generics sind eher dafür gedacht eine unterschiedliche Anzahl von 
Komponenten zu binden oder Dinge zu erstellen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.