Ich möchte einer Komponente über eine generic-Anweisung einen integer-Wert (z.B. 40) übergeben. In Abhängigkeit von diesem Wert sollen Signale und ein Zähler mit entsprechenden Bitbreiten generiert werden (in o. Beispiel 6bit). Ist soetwas in VHDL möglich und wenn ja, wie???
Ja klar, einfach die Konstante, die du unter generic deklarierst in der Angabe der Breite verwenden. Vorsicht mit komplizierteren Berechnungen / Funktionsaufrufen: Da stolpert ISE bei der Simulation manchmal drüber.
1 | entity XY is |
2 | generic( |
3 | width : natural := 16 -- mit defaultWert |
4 | )
|
5 | port( |
6 | aVect : std_ulogic_vector(width-1 downto 0) |
7 | )
|
auch nachher in der architecture kannst Du in diesem Bsp width einfach als Konstante verwenden. Wenn Du bei der instatieerung kein generic map verwendets wird der deafultwert hergenommen.
oops hab die Schnittstelle beschrieben, kannst aber auch in der architecture dann
1 | signal counter : std_ulogic_vector(width-1 downto 0) |
anlegen
okay, ich glaube ich habe mich unklar ausgedrückt. ich möchte in der generic-anweisung nicht die breite angeben, sondern einen zählerstand, zum beispiel 100. und in abhängigkeit von diesem integer-wert sollen signale und zähler erzeugt werden, also mit 7bit breite. umgesetzt werden müsste eine log2() anweisung. ist das möglich?
1 | entity DataRAM is generic(RAMSize : positive := 128); |
2 | port( |
3 | Reset_n : in std_ulogic; |
4 | Clk : in std_ulogic; |
5 | address : in std_ulogic_vector (LOG2(RAMSize)-1 downto 0); |
6 | ramwe : in std_ulogic; |
7 | din : in std_ulogic_vector (7 downto 0); |
8 | dout : out std_ulogic_vector (7 downto 0) |
9 | );
|
10 | end DataRAM; |
wobei LOG2 in einem Projektspezifischen Package so auf gebaut ist:
1 | function LOG2(Number : positive) return natural is |
2 | variable Temp : positive := 1; |
3 | begin
|
4 | if Number=1 then |
5 | return 0; |
6 | else
|
7 | for i in 1 to integer'high loop |
8 | Temp := 2*Temp; |
9 | if Temp>=Number then |
10 | return i; |
11 | end if; |
12 | end loop; |
13 | end if; |
14 | end function; |
Ich denke jetzt hab ich's - hab gestern etwas unaufmerksam gelesen.
genau, hab ich mittlerweile auch mit einem package gelöst. das schöne daran ist, dass man auch die ports selbst variabel gestalten kann :-)))
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.