Forum: FPGA, VHDL & Co. Fehlermeldung im Xilinx ISE 9.2i


von ThomasB (Gast)


Lesenswert?

Hallo,
ich bekomme immer wenn ich im Xilinx ISE 9.2i links auf Synthesize XST 
klicke kriege folgende Fehlermeldung:
FATAL_ERROR:Xst:Portability/export/Port_Main.h:127:1.17 - This 
application has discovered an exceptional condition from which it cannot 
recover.  Process will terminate. For more information on this error, 
please consult the Answers Database or open a WebCase with this project 
attached at http://www.xilinx.com/support.

ich habe dann einen sehr einfachen Code geschrieben um dies als 
Fehlerquelle auszuschließen:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity Test3 is
    Port ( clk : in  STD_LOGIC;
           x1 : in  STD_LOGIC;
           x2 : in  STD_LOGIC;
           x3 : in  STD_LOGIC;
           y1 : out  STD_LOGIC;
           y2 : out  STD_LOGIC);
end Test3;

architecture Behavioral of Test3 is
begin
y1 <= x1 and x2 and x3;
y2 <= x1 or x2 or x3;
end Behavioral;

Ich habe denselben Code unter Xilinx 9.1i an einem anderen Rechner 
ausprobiert - da läuft's.
Alle Xilinx Updates sind drauf. Oder liegt es vielleicht am Microsoft 
Vista Business das auf dem Rechner ist?
Hat jemand eine Idee?
Danke!

von Morin (Gast)


Lesenswert?

> FATAL_ERROR:Xst:Portability/export/Port_Main.h:127:1.17 - This
> application has discovered an exceptional condition from which it cannot
> recover.

Die schlechte Nachricht: Diese Fehlermeldung bedeutet soviel wie "unsere 
Kunden sind es uns nicht wert, bis zur 9er Version wenigstens die 
fundamentalen Bugs aus unserer Software zu entfernen". Du bist 
(vermutlich) keine Firma mit viel Geld, also gib jede Hoffnung auf dass 
Xilinx sich dazu herablässt, extra für dich diesen Bug zu fixen.

Die gute Nachricht: Die 6er (6.2 oder 6.3) hat weitaus weniger Bugs, 
vielleicht läufts damit.

von ThomasB (Gast)


Lesenswert?

Hallo,
hab mir zwischenzeitlich die 10.1-er Version gedownloaded - mit der 
geht's.
Danke.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.