Forum: FPGA, VHDL & Co. Datentyp in Entity über generics verändern, möglich?


von Guest (Gast)


Lesenswert?

So, mein Problem ist etwas ungewöhnlich, aber evtl. kann mir hier 
geholfen werden.

Ich möchte eine Komponente parametrierbar gestalten. Dazu gehört in 
meinem Fall auch die Bedingung, nicht nur die Breite eines 
Ein-/Ausgangssignals zu verändern, sondern auch den Datentyp selbst, 
z.B. std_logic oder std_logic_vector. Gibt es da eine Möglichkeit, über 
generics oder packages das zu Realisieren??? Habe bisher keine Lösung 
gefunden.

von Xenu (Gast)


Lesenswert?


Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.