Forum: FPGA, VHDL & Co. globale Signale in packages definieren


von Gast (Gast)


Lesenswert?

Wie muss das aussehen ?

von Matthias F. (flint)


Lesenswert?

zb so:
1
package xxx is
2
3
  shared variable MessageHead_Logger : Message_record_ptr := null; 
4
5
  signal Parser_init_succ : boolean := false;  
6
7
  signal State_Machine_finished : boolean := false;
8
9
  shared variable CurrentTest : integer := 0; 
10
end xxx;


Man muss allerdings aufpassen, weil nicht alle Synthesetools damit 
zurechtkommen. Ich habe es in einer Testbench verwendet, da ist es kein 
Problem.

von Gast (Gast)


Lesenswert?

Geht das dann auch mit Shared Signals, Arrays etc?

Kann ich das von überall aufrufen ?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.