Hi an allen, ich habe seid kurzem angefangen VHDL zu lernen, weiß aber nun nicht wie ich eine einfache LaufendeLED schreibe. Will für anfang 3 LED mit unterschiedlichen Zeit (50Mhz) zum Laufen bringen. wie kriege ich dass nun ihn?? Danke
Ist sehr einfach. Du nimmst zunächst einen Zähler um Dir ein Clockenable zu generieren. Der Zähler stellt eine Art "Teiler" für Dein Taktsignal von 50MHz dar. In dem LED-Prozess schreibst Du dann:
1 | led_lau_reg: process(reset, clk) |
2 | begin
|
3 | if reset = '1' then |
4 | --init
|
5 | elsif(rising_edge(clk))then |
6 | if(clockenable = '1')then |
7 | --tue was...
|
8 | end if; |
9 | end if; |
10 | end process led_lauf_reg; |
Das Lauflicht an sich kannst Du mit einer Statemachine oder einen einfachen schieberegister realisieren. Schieberegister mit "001" initialisieren, und bei jedem clockenable um eins nach links schieben. Wenn Du "100" hast, einfach wieder auf "001" setzen... Hoffe es hilft weiter...
wie gehe ich vor? Was muss hier eintragen?? hepl please habe null ahnung:(( ------------------------------------------------------------------------ ---------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity lauf_licht is end lauf_licht; architecture Behavioral of lauf_licht is begin end Behavioral;
oder was muss hier eintragen?? ENTITY laufi IS END laufi; ARCHITECTURE behavior OF laufi IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT lauf_licht PORT( ); END COMPONENT; BEGIN -- Instantiate the Unit Under Test (UUT) uut: lauf_licht PORT MAP ( ); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name constant <clock>_period := 1ns; <clock>_process :process begin <clock> <= '0'; wait for <clock>_period/2; <clock> <= '1'; wait for <clock>_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100ms. wait for 100ms; wait for <clock>_period*10; -- insert stimulus here wait; end process; END;
es wäre super nett wenn mir jemand hilft ich komme einfach nicht vorwärts und versuch schon seid heute morgen Danke
Als kurzen Tipp (habe gerade nicht viel Zeit): mach erstmal einen einzelnen Blinker... Das muss die entity so aussehen:
1 | entity blinker is |
2 | port( Reset: IN std_logic; |
3 | Clk: IN std_logic; |
4 | Led: OUT std_logic |
5 | );
|
6 | end entity; |
Bei einem Lauflicht musste für die Entity aus dem Led ein std_logic_vector(2 downto 0) machen...
einen einzelnen Blinker und ich krieg das nicht hin, was ist hier Falsch entity Lauflicht is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; LED_out : out std_logic_vector(2 downto 0)); end Lauflicht; architecture Behavioral of Lauflicht is signal led: std_logic_vector(2 downto 0); begin Lauflicht: process(reset, clk) begin if reset = '1' then --init elsif(rising_edge(clk))then if(clk = '1')then --tue was... end if; end if; end process; end Behavioral;
Ich sehe nichts Falsches. Ich sehe aber auch nichts richtiges. Dort wo "--init" oder "-- tue was" steht, muss Code hin, der etwas macht. Versuche mal, Beispiele zu finden, zb eine Counterimplementierung, eine Flip-Flop Implementierung, ... (hauptsache kleine entities) und mit dem Simulator und Herumspielen in die Sprache rein zu finden. Von null beginnen kann gerade bei VHDL sehr frustrierend sein.
also ich seh da schon einiges falsches. zumindest das: elsif(rising_edge(clk))then if(clk = '1')then ist bloedsinn, das zweite if naemlich voellig unnoetig und wahrscheinlich unsynthetisierbar
ich bin immer noch am rum spielen geht nicht, wie krieg dass dann nun hin damit es läuft. danke
Du solltest schon genauer sagen was du probiert hast und was nicht geht. Ansonsten solltest du mal nachschauen wie man einem std_logic_vector Werte zuweist und wieder ausliest.
Gehe Schritt für Schritt vor. Baue erstmal einen Zähler mit dem Du ein passendes clk_enable generierst. Die Breite des Zählers hängt von Deiner Taktfrequenz und der Frequenz des Lauflichtes ab. Als Beispiel:
1 | signal clk_div: unsigned(15 downto 0); |
2 | signal clk_en: std_logic; |
3 | |
4 | clk_div_reg: process(Reset, Clk) |
5 | begin
|
6 | if(Reset = '1')then |
7 | clk_div <= (others => '0'); |
8 | clk_en <= '0'; |
9 | elsif(rising_edge(Clk))then |
10 | clk_div <= clk_div + 1; |
11 | if(clk_div = 2**16-1)then |
12 | clk_en <= '1'; |
13 | else
|
14 | clk_en <= '0'; |
15 | end if; |
16 | end if; |
Das benutzt Du nun in Deinem Lauflichtprozess als clockenable.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.