Forum: FPGA, VHDL & Co. Serialisierung von FPGA-Roms


von Simi (Gast)


Lesenswert?

Hallo zusammen,

kennt Ihr eine einfache Möglichkeit, ROM-Files für Xilinx-FPGAs mit 
einer "Seriennummer" zu versehen?

Idee:

MeinProgramm.mcs -----> irgendein Script ---> MeinProgramm0001.mcs
MeinProgramm.mcs -----> irgendein Script ---> MeinProgramm0002.mcs
MeinProgramm.mcs -----> irgendein Script ---> MeinProgramm0003.mcs
MeinProgramm.mcs -----> irgendein Script ---> MeinProgramm0004.mcs
MeinProgramm.mcs -----> irgendein Script ---> MeinProgramm0005.mcs

MeinProgramm0001.mcs unterscheidet sich von MeinProgramm0002.mcs darin, 
dass nach der Initialisierung des FPGAs irgendwo (in einer Blockramzelle 
oder wasweissichwo) ein Vekter mit 0002 statt mit 0001 steht. Dieser 
Vektor wäre dann also eine eindeutige Seriennummer des Geräts.

Gibt's von Xilinx irgend so ein Script oder Progrämmchen, welches das 
machen kann? Mit automatischer Nachführung der Checksumme, versteht 
sich. :-)

Gruss
Simon

von Falk B. (falk)


Lesenswert?

@ Simi (Gast)

>Gibt's von Xilinx irgend so ein Script oder Progrämmchen, welches das
>machen kann? Mit automatischer Nachführung der Checksumme, versteht
>sich. :-)

Nennt sich Data2mem, damit kann man BRAMs mit variablen Inhalten direkt 
im Bitfile manipulieren. Allerdings kostet dich das einen BRAM. 
Möglicherweise kann das Tool mittlerweile auch kleine LUT-RAMs 
beschreiben, keine Ahnung.

MfG
falk

von Joko (Gast)


Lesenswert?

Je nachdem, WER die Nummer auslesen soll/kann, gäbe es noch die
Möglichkeit, "bitgen" mit der Option -g UserID:0x12345678
aufzurufen (0x12.. ist natürlich DEINE Zahl nach Wahl) diese User-ID
kann per JTAG (aber auch nur per JTAG) zurückgelesen werden.

/Jochen

von Simi (Gast)


Lesenswert?

Vielen Dank für die Antworten!

@Joko: Auch 'ne interessante Info, danke! In meinem speziellen Fall aber 
nicht anwendbar, weil die (Test-)firmware die (nur während des Tests 
gültige) Seriennummer benötigt.

@Falk: Da es sich eben um ein TEST-Firmware handelt, spendiere ich dafür 
gerne ein ganzes Blockram! Dann werde ich mich also über Data2mem 
schlaumachen! Vilä Dank!!

Simon

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.