Forum: FPGA, VHDL & Co. ngc Files im ISE verwenden


von Jeff B. (jeffb)


Lesenswert?

Hallo Leute,

bin ein FPGA Neuling und brauche dringend eure Hilfe:

Ich habe im ISE ein Modul geschrieben, dass zusammen mit einem IP aus 
Xilinx EDK ein System bilden soll. Habe dieses IP jetzt als ngc file 
vorliegen und dieses bereits in das Project eingebunden (add source). 
Frage: Wie kann ich nun mein Schematik modul mit dem NGC verbinden??

von Christian R. (supachris)


Lesenswert?

Da brauchst du noch ein paar andere Dateien. VHDL-File, BBD usw...
Hier steht wie´s geht: Beitrag "Re: Fifo in IP-Core einbauen"

von Jeff B. (jeffb)


Lesenswert?

Hmmm, jep den Beitrag hatte ich schon gelesen. Das Problem ist: ich will 
das ganze im ISE nicht im EDK synthetesieren und bevor es überhaupt so 
weit ist muss ich die beiden Module ja irgendwie verbinden. Im EDK geht 
es einfach indem man sein IP- mit zB OPB Interface- an den bestehenden 
Bus dranhängt. Im  Ise bräuchte ich vom ngc File ein Schematic Symbol, 
um diesen dann mit meinem Design zu verbinden - oder?

von Christian R. (supachris)


Lesenswert?

Meines Wissens brauchst du dann eine VHDL Datei, quasi den Wrapper. Ist 
ja bei den Cores aus dem Core-Generator auch so. Aus der VHDL Datei 
kannst du dann auch ein Schaltplan-Symbol erstellen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.