Forum: FPGA, VHDL & Co. Simulation mit WebPack 10.1.03 leuft nicht.


von Ulk (Gast)


Lesenswert?

Hallo Leute,

ich habe ein Problem bei dem ich schon seit Tagen nicht wirklich weiter 
komme.
Eigentlich geht es darum mit einem XC9536XV CPLD unipolare 
SPI-Bussignale einmal in Differenzialsignale und andermal wieder zurück 
zu wandeln.
Nachdem ich an dem Problem schon mehr als eine Woche abends und jetzt 
das ganze Wochenende probiert habe, bin ich langsam am verzweifeln.
Habe den Teil der nicht läuft auf ein Minimum abgespeckt um das Problem 
einzukreisen.
Der Teil sieht so aus:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity dmy is
Port (
   data :     inout std_logic_vector(1 downto 0);
  intD :   in   std_logic_vector(1 downto 0);
  outD :  out  std_logic_vector(1 downto 0);
   oe :     in   std_logic
);
end entity dmy;

architecture Behavioral of dmy is

signal oe_int : std_logic;


begin

-- interne Signale

oe_int <= oe;
data <= intD when oe_int = '1' else "ZZ";
outD <= data;

end Behavioral;

Wenn ich den Teil simuliere kommt leider nur Mist heraus und das obwohl 
die .OE Signale den richtigen Zustand hat.
Kann doch wohl nicht sein das diese einfache Logik nicht läuft, oder 
liegt das nur an der Simulation.

Falls jemandem hier was dazu einfällt sage ich schon mal vorab Danke, 
Ulk

von Duke Scarring (Gast)


Lesenswert?

Wie sieht denn Deine Testbench aus? (siehe auch VHDL Testbench)
Und wie die resultierenden Timingdiagramme?

Duke

von Ulrich K. (Firma: Ing. Büro USAR) (ulk)


Lesenswert?

Hallo Duke,

hatte gedacht ich hätte einen Screenshot mit angehängt, ist leider nicht 
so.
Bin eben gerade in der Firma und fahre wohl um drei nach Hause, ich kann 
das File, oder wenn du willst das Testprojekt als Zip-File hochladen 
wenn ich zu hause bin.

Gruß, Ulk

von Ulrich K. (Firma: Ing. Büro USAR) (ulk)


Angehängte Dateien:

Lesenswert?

Duke Scarring wrote:
> Wie sieht denn Deine Testbench aus? (siehe auch VHDL Testbench)
> Und wie die resultierenden Timingdiagramme?
>
> Duke
Hallo Duke,

ich habe den Fehler gefunden. Ich habe bei der Simulation des 
bidirektionalem 2-Draht Bus bei gesetztem "oe" nicht gewusst das ich die 
Stimulus auf "Z" setzen muss.

Gruß, Ulk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.