Forum: FPGA, VHDL & Co. Xinlinx Virtex-4 FX12 363 / Miniboard / Clock Pin


von Kai H. (koh)


Lesenswert?

Guten Morgen @ all!

Ich muss mal um Eure Hilfe bitten!

In Kurz:
Welcher Pin ist bei dem o.g. Board der Clock Pin, den ich in PACE bzw. 
im .ucf File angeben muss?
>>> B13 (laut Doku und Beispielen) geht nicht!
Oder hab ich irgendetwas übersehen, muss ich bei Xilinx noch etwas 
besonderes einstellen?


Länger:
Ich habe vorher nur mit Altera und kurzzeitig mit Actel gearbeitet und 
"darf" mich jetzt in Xilinx reinfuchsen.
Erstmal wollte ich einen simplen VHDL Code (sekundlich Led an, Led aus) 
ausprobieren, doch nichts ging. Dort wo der Clock, laut Doku sein 
sollte, PIN B13, ist er nicht. Ich hab Seitenweise Doku zu dem Miniboard 
und dem Baseboard gewälzt und bin kurz vor Verzweiflung.

Umgebung:
OS: Red Hart
Miniboard+Baseboard-Bundle:
http://www.em.avnet.com/evk/home/0,1719,RID%253D%2526CID%253D25726%2526CAT%253D%2526CCD%253DUSA%2526SID%253D4742%2526DID%253DDF2%2526SRT%253D1%2526LID%253D0%2526PRT%253D0%2526PVW%253D%2526PNT%253D%2526BID%253DDF2%2526CTP%253DEVK,00.html


Hat jemand vielleicht schon mit dem Board gearbeitet einen kleinen Tipp 
für mich? Bin für jeden Hinweis dankbar!

mfg
Kai

von Christian R. (supachris)


Lesenswert?

Häng doch mal den Schaltplan an, ich hab keine Lust mich da anzumelden 
erst. Besonders musst du nix einstellen, der Takt hängt sicher an einem 
GCLK Eingang, also einem der globalen Takt-Eingänge. Was sagt denn die 
Simulation?

von Kai H. (koh)


Angehängte Dateien:

Lesenswert?

Kein Problem!

Hier ist der Schaltplan (siehe Anhang)

Schonmal schönen Dank für Deine Mühen!

Kai

von Christian R. (supachris)


Lesenswert?

Hmm...B13 ist laut Schaltplan schon richtig. Da scheint in deinem VHDL 
ein Fehler zu sein. Oder die haben den Schaltplan geändert...hast du´s 
mal simuliert? Für so einfache Sachen reicht ja auch der 
ISE-Simulator...

von Kai H. (koh)


Lesenswert?

Immerhin!
Ich scheine also doch nur ein dickes Brett vorm Kopf zu haben, aber 
lesen kann ich wohl doch noch ;-)

Ich muss zugeben simuliert hab ich das Ding NOCH nicht. Wollte das, wenn 
die ersten Module soweit sind  dann mit Modelsim machen.
(Geht um die Steuerung in einem Luftschiff). Die paar Zeilen VHDL 
sollten wirklich nur Licht an/Licht aus spielen.

Das Board liegt im Labor, werde das also Anfang der Woche erneut 
probieren und dann auch dieses ISE-Simulator mal an schmeissen.

Vielen Dank bis hier her! Ich melde mich wieder!

Noch ein schönes We!
Kai

von Christian R. (supachris)


Lesenswert?

Den passenden IO-Standard hast du aber eingestellt oder? Hast du mal 
nachgemessen, ob der Oszillator überhaupt schwingt? Und wie hast du 
deinen Zähler realisiert? Immerhin hat der Oszillator 100Mhz, da musst 
du schon ordentlich zählen, dass du ein Blinken mit dem Auge wahrnehmen 
kannst.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.