Forum: FPGA, VHDL & Co. Audio DSP mit FPGA


von Antti (Gast)


Lesenswert?

Hallo

ich mache zur zeit mehrere clones von mehreren Audio-DSPs,
als FPGA cores implementiert:

1) Alesis/Wavefront AL3101
2) TI TAS3108
3) eigenes etwas :)
4) ? vielleicht noch was existierendes wenns daten gibt (vorschlage?)

ist sehr spannend (fur mich), aber vielleicht hatten andere
auch lust dabei? (mitzumachen meine ich)

Alesis ist nicht so interressant wie TAS3xxx aber fur AL3101
gibt es freeware opensource tools: assembler, code generator
und simulator, fur TAS3xxx ist alles von TI sehr beschrankt.

die Audio-DSP cores laufen in "frame" modus, dh das ganze
program wird voll ausgefuhr mit Audio Sample rate

Antti

von Harald (Gast)


Lesenswert?

Hallo

erzähle mir doch bitte mal was über Alesis/Wavefront AL3101. Haben die 
was mit der Musikfirma Alesis zu tun?

von avr (Gast)


Lesenswert?

@Harald

Kann ich auch bzw. dir den Wink mit dem Link geben:

http://www.profusionplc.com/pro/gex/pcatdtl0?ipartno=AL3201BG

avr

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Harald schrieb:
> Hallo
>
> erzähle mir doch bitte mal was über Alesis/Wavefront AL3101. Haben die
> was mit der Musikfirma Alesis zu tun?

Ja. Ist der Chiphersteller-Zweig der Firma.


Gruß -
Abdul

von Antti (Gast)


Lesenswert?

fehlende infos/link

Alesis, ja die IC leute von Alesis, die wollten eigene chips
machen, und haben es auch getan, das AL3101 ist ein DSP in SO16!
mit internen PLL das DSP clock von Audio sample wordclock macht.

http://www.wavefrontsemi.com/

da sind alle hersteller infos (ist die Alesis IC ab-zweig)

here sind simulator/assembler source code von 3rd party

http://www.axoris.be/VirtuAL3101-Download.php

es sieht so aus das die Alesis IC's bald aus-sterben
macht aber nichts, die core can man in FPGA gut benutzen
ist soweit fast das einzige Audio DSP das nicht geheim ist
(die TI und ADI sind sehr geheim gehalten, assembler listings
sing alles encrupted, und asm code auch!)

Antti

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Das die bald aussterben, sieht man an der Unterstützung der Website. Tut 
sich seit Jahren nicht mehr viel. Was Neues war man angekündigt, 
verschwand aber bald. Danach kam nichts mehr. Schade. Scheinen es gegen 
dsPIC&Co. aufgegeben zu haben.

Wenn der Alesis in FPGA paßt, ist er interessant. Nur leider scheint man 
außer einem FIR nicht viel anderes mit dem Chip machen zu können.

Paßt da ein SSB-Demodulator, ein BPSK-Modem, ein FM-Demod oder sonstwas 
praktisches rein?

Wo findet man Infos zur FPGA-Implementation?


Gruß -
Abdul

von Antti (Gast)


Lesenswert?

na AL3101 passt ganz gut in klein FPGA rein
ist leider nicht so optimal fur FPGA implementierung
aber ich mache zuerst eine kompatible version
damit man die original code snippets ausprobieren kann

infos gibts soweit (uber die FPGA version) ausser hier :)

das IP core ist meist gross stucken fertig, aber viel
klein arbeit noch zu tun

Antti

von Gast (Gast)


Lesenswert?

Was ist denn das nur für ein Deutsch...

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Rechtschreibefehler, Tastaturverwechsler oder Fremdsprachler hin oder 
her. Wenn es dann nicht mehr dem Sinn nach entzifferbar ist, wirds 
nervig und I gave up.

Wenn Antti das Teil schon halb gebacken hat, sollte doch irgendwas an 
DSP-Code vorher bestanden haben? Vielleicht kann er Beispiele nennen?

Wo kann man den Fortschritt des Projekts einsehen? Wie steht es um die 
Anschaltung von Wandlern?


Gruß -
Abdul

von Antti (Gast)


Lesenswert?

Manche sind echt nervig, sobald jemand rechtschreibfehler macht.
obwohl die in Deutschland das denglish uberall reindrangt.

bin von Estland, und mache viele fehler mit ALLEN 5 Sprachen
die ich kann, mit Muttersprache nicht viel weniger, und mit
tastatur ist auch immer problem, benutze es meist nur fur
Quellcode, und in formalen sprachen, gibt es keine a-umlauts.
-----------
Ok, status: ein paar befehle sind ok, aber wenn man
den AL3101 befehlsatz genauer ansieht, gibt es eigentlich
wenig befehle, ist meistens nur MAC, und multiplexer
auswahl fur operands. Ausser MAC gibt es nur:
skip
and
add/sub/mult signed (nicht fix point)
log/exp

skip und flags/flag check ist gar nicht getan
ebenso die log/exp,

mit dem log/exp da habe ich noch probleme um festzustellen
wie man es am besten macht in FPGA, das code in simulator
benutzt floating point :(

das mit "wandlern" da will ich es aber von dem DSP-core
separate halten, dh ich habe mehrere DSP cores, die
gleichen top level ports haben, das wird dann parallel 32 bits sein

die "serializers" kann man drauf anschliessen, sei es I2S, AC97 oder
was immer

ein bishen simulation laufen, und ich sehe keine problem das voll
zum laufen zu bringen (ausser ja wie man das log/exp gut macht)

Antti

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Danke!

Dann wird log/exp also nicht binärkompatibel sein. Einfach weil du die 
verwendeten Alesis-Approximationen nicht kennst, richtig?!

Umlaute kannst du ersetzen durch <Umlaut>+'e'
ß durch 'ss'. Ist allerdings nicht immer richtig!! Beispiel: Masse <> 
Maße

Schreib lieber Englisch, wenn du das besser beherrschst.


So wie sich das anhört, wird das ein FPGA mit BGAs. Dann ist ein großer 
Vorteil des Alsis futsch.


Gruß -
Abdul

von Antti (Gast)


Lesenswert?

ne ich denke die FPGA kann immerhin ganz klein sein von resourcen
(mindestens was alles andere ausser exp/log)

Antti

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Naja, die Frage mußte kommen:
Wie klein in Bezug auf Preis und Pinanzahl?


- A.

von Antti (Gast)


Lesenswert?

das kann man nicht so antworten, und hat auch wenig sinn,
um einen AL3101 ALLEINE 1:1 zu ersetzen, macht ein FPGA
wenig sinn, ist auch nicht mein vorhaben,
wenn man aber in einem FPGA etwas audio DSP braucht da kann
man dieses DAZU machen, und da ist die frage schon ganz anders.

aber eigentlich ware die resource kosten von den audio DSP
etwa ahnlich zu dem preis von dem chip, (4 USD) oder sogar
weniger, wenn man das % berechnet was benutzt wird.

in einem 8x8mm package ware es sogar fast kleiner als so-16
denkbar

Antti

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Danke!

Gruß -
Abdul

von Antti (Gast)


Lesenswert?

tjah das wars? keine interesses.
na ich mache lustig weiter :)

Antti

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Interesse schon. Aber dafür muß irgendwas halbwegs fertig sein. Wenn am 
Ende rauskommt, das ein dsPIC oder TI-Monster einfach effektiver sind, 
dann wars das. Wobei 'effektiv' multidimensional den eigenen 
Bedürfnissen entsprechen darf.

Gibt es keinen Link zu deinem Projekt?

Gruß -
Abdul

von TheMason (Gast)


Lesenswert?

nun muß ich auch mal meinen senf dazugeben.

sry wenn ich etwas angenervt wirke, aber ich frage seit gestandenen 2 
jahren nach ob jemand lust hat an einem solchen projekt mitzumachen. 
habe inzwischen auch eine hardware (fpga+avr+codec+schnittstellen) 
fertig. was im endeffekt auf diesem board läuft (ob al3101 oder was 
selbstebautes wie in meinem falle) ist ja eig. egal.
da kommt man sich schon ein wenig (ich sag mal : blöd) vor ständig 
nachzufragen.

also : noch einmal der hinweis auf mein bestehendes audio-projekt hier 
zu finden im fpga-forum.
es wäre echt schön wenn sich endlich mal ein paar leute finden ließen 
die bei diesem projekt mitmachen würden.

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Hallo Mason -

Ist zwar ärgerlich wenn man sich viel Arbeit machte und es keinen 
interessiert, aber jetzt mal nur für mich gesprochen:
Ich bin nur an Flat-Designs interessiert. Also wenig Pins und 
kostengünstig, universell, halt übersichtlich.

Ein FPGA ist meist genau das Gegenteil.


Gruß -
Abdul

von Antti (Gast)


Lesenswert?

du willst aber alles!

na mindestens 4 pins sind notwendig
GND
VCC
CLK
OUT1

das wurde dann etwas generieren und mittels Delta sigma dac rausgeben
ist das wenig genug pins?
ok, den CLK kann man intern machen, dann ware es eine weniger

kostengunstig? na mit kleinsten, xilinx, altera, lattice FPGA
wurde es gehen, willst noch billiger?

tut mir leid, ich verstehe deine argumente nicht so richtig.

wenn du wenig pins willst, dann nimm doch eine ATtiny10
hast dann nur 6 pins, FPGA's habe alles mindestens so 48 pins.

Antti

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Kannst du in dem 48per dann was sinnvolles des DSP unterbringen?

JA, ich verlange Höchstleistung *lol, Kaffeeprust*

Ich habe früher 6-lagige Platinen geroutet. Irgendwann ist man 
schlauer...

Gruß -
Abdul

von Antti (Gast)


Lesenswert?

tjaa QN48 ist derklein riese von Actel,

der kleinste (gehause massig) FPGA vo DSP rein passte
ware etwa 3.4 x 3.6 mm grosses Silicon Blue bauteil

aber ehrlich, sind die 8x8 mm bauteile fur dich wirklich zu gross?

alle hersteller habe 8x8 gehause, und geht shon manches rein


Antti

von Rene B. (themason) Benutzerseite


Lesenswert?

@abdul

mir wäre ein fqp64 fpga von xilinx auch lieber ;-)
aber da es keinen solchen fpga gibt, und ich eben gerne universell mit 
der hardware bin (sprich : austauschbare "hardware" via vhdl) muß ich 
das wohl in kauf nehmen. aber bei den möglichkeiten die sich mit einem 
fpga eben bieten nehm ich das gerne in kauf. und man hat den vorteil das 
man "mal eben" nen pin nehmen kann wenn man ihn braucht, und nicht 
irgendwann fluchen muß weil keine pinne mehr frei sind.
also das argument zählt für mich nicht so sehr. ok, der routing aufwand 
ist größer, aber ich denke das kann man verschmerzen. man muß ja nicht 
zwangsweise eine 6-8 lagige platine machen :-) mit 2-4 lagen bekommt man 
auch ne menge hin

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

64 Pins halte ich noch für akzeptabel für kleine Projekte. Klar, es gibt 
Sachen, da muß der 200 Pinner her.


Gruß -
Abdul

von Antti (Gast)


Lesenswert?

na es gibt keine FPGA's mit 64 pins

es gibt:
TQFP100
QN48 - keine ram
QN68 - keine ram
QN108

(T)QFP64 FPGA's GIBT es nicht!
(ware toll, aber leider...)

wenn du unter <100 pins QFP wills, solltest du nicht
mit FPGA's arbeiten, solche gibts es nahmlich nicht.

Antti
PS 200 pin gehause gibt es uberhaupt nicht.
100, 128, 144, 176, 208, 240

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Du scheinst ein kleiner Haarspalter zu sein. Klar weiß ich, das es keine 
(vermutlich!) 200er gibt. Ich habe selbst schon etliche 208er 
(CPLD)ausgetauscht.

Melde dich, wenns was zu sehen gibt.

Ich steige aus.


Gruß -
Abdul

von Gast (Gast)


Lesenswert?

> wäre echt schön wenn sich endlich mal ein paar leute finden ließen
> die bei diesem projekt mitmachen würden.

Wenn ich die Zeit hätte, würde ich, aber ich muss arbeiten und meine 
VHDL in Industrieprojekte lenken.

Auch die Audio VHDL.

von Rene B. (themason) Benutzerseite


Lesenswert?

ich weiß das das zeit argument ein thema ist ... aber ich sage bei dem 
projekt (sry antti wenn ich dir den thread kurz klaue) ja direkt das es 
nicht mal eben ist ... daher werkel ich ja auch schon insg. seit 
gestandenen 3 jahren daran rum ... aber es müssen sich doch echt 
irgendwo leute finden lassen ... sry wenn ich das so sage ... aber es 
macht mich schon irgendwo traurig wenn ich eben auch an die ganzen leute 
denke die analog-synthies bauen ... bei denen ist das sogesehen ja noch 
schwieriger ... "selbst wenn einmal eingestellt bzw gebaut" .. oder doch 
nicht ?! und es haben sich mittlerweile echt ne menge ideen angesammelt 
was das projekt angeht. nur wäre es eben schade das ganze in der 
versenkung verschwinden zu lassen ... von daher eben auch irgendwo diese 
verzweifelung ... weil eben schon ne menge damit machbar ist (thread 
wieder zurückgeb)

von J. S. (engineer) Benutzerseite


Lesenswert?

Das Problem mit den Audio-DSPs im FPGA und auch der gesamten 
Projektsituation ist, dass Du nur mit sehr viel Aufwand was Besseres 
hinstellen kannst, als es von Dritter Seite zu kaufen gibt. Die, die nur 
ein bissl was programmieren wollen, kaufen sich für <100,- eine 
DSP-Plattform. Den Aufwand für FPGA-basierte System wollen die, die es 
können, aber wohl nicht treiben oder können es nicht, aus Zeitgründen. 
Ich spreche da durchaus auch für mich.

von Experte (Gast)


Lesenswert?

Rene B. schrieb:
> sry wenn ich das so sage ... aber es
> macht mich schon irgendwo traurig wenn ich eben auch an die ganzen leute
> denke die analog-synthies bauen ... bei denen ist das sogesehen
> ja noch schwieriger

Ich glaube, das genau ist die Motivation. Man möchte bei den eigenen 
Bastelprojekten nach eigenem Geschmack vorgehen, das einbauen, was man 
will und sich nicht an Teamvorgaben halten, die nur auf Kompromisse 
hinauslaufen. Die meisten Projekte dieser Art sind dehalb verkorkste 
Bastelprojekte, die nie das Licht der Welt erblicken.

Konkret die Analogsynthies sind etwas veraltetes, nicht mehr benötigtes 
und eigentlich nur ein Herumgeschraube an Oldtimern. Sie fahren nicht 
schnell, kosten viel Zeit und Geld und befriedigen nur den Spieltrieb.

Wer moderen Audiotechnik produziert, baut nicht mehr Analog.

von Rolf S. (audiorolf)


Lesenswert?

Experte schrieb:
> Analogsynthies sind etwas veraltetes, nicht mehr benötigtes
> und eigentlich nur ein Herumgeschraube an Oldtimern.
Ein wahrer "Experte" (?)

von Digitaler (Gast)


Lesenswert?

Rolf Sassinger schrieb:
> Experte schrieb:
>> Analogsynthies sind etwas veraltetes, nicht mehr benötigtes
>> und eigentlich nur ein Herumgeschraube an Oldtimern.
> Ein wahrer "Experte" (?)
Da ist was dran. Das Gedöhns um die gute alte Analogtechnik ist einfach 
übertrieben. In der Audiotechnik sind heute Abtastraten von 192kHz 
verwendet, von daher muss mir niemand mehr erzählen, dass er da noch 
Unterschiede hört.

von Christoph Z. (christophz)


Lesenswert?

Digitaler schrieb:
> Rolf Sassinger schrieb:
>> Experte schrieb:
>>> Analogsynthies sind etwas veraltetes, nicht mehr benötigtes
>>> und eigentlich nur ein Herumgeschraube an Oldtimern.
>> Ein wahrer "Experte" (?)
> Da ist was dran. Das Gedöhns um die gute alte Analogtechnik ist einfach
> übertrieben. In der Audiotechnik sind heute Abtastraten von 192kHz
> verwendet, von daher muss mir niemand mehr erzählen, dass er da noch
> Unterschiede hört.

Da das Thema doch ausgegraben wurde, will ich meinen Komentar dazu auch 
abgeben.

Aus meiner Sicht, in diesem Fall nicht die Sicht eines Ingenieurs, muss 
man hier ganz klar von zwei verschiedenen "Dingen"/Fällen reden:

1. Audio Technik die zum Verändern, Anpassen, Kopieren, 
Weiterverbreiten, Senden, Empfangen und Wiedergeben dient.

Da bin ich der Meinung, dass die Digitaltechnik mit den heutigen Chips, 
Verfahren und Formaten überlegen ist und zu bevorzugen. (Vor allem seit 
auch zu Hause verlustfrei komprimierte Dateien gekauft werden können und 
bitgenau abgespielt werden können).

2. Audio Technik die zum erschaffen von Musik dient, also Instrumente im 
weiteren Sinn.

Genau dazu zähle ich analoge Synthesizer. Bei akustischen Instrumenten 
kritisiert ja auch niemand einen Musiker weil er eine 200 Jahre alte 
Geige spielt. Bei Instrumenten entscheided immer der Musiker was er 
bevorzugt und der entscheided nach der Handhabung und nach dem Klang.
(Ein bestimmter analoger Synthi produziert vielleicht technisch 
unerwünschte Modulationsnebenprodukte. Wer entscheided jetzt was besser 
klingt? Wer entscheided wie es überhaubt klingen muss?)

Es ist durchaus üblich, dass Sänger einem Tontechniker vorab mitteilen 
welchen Mikrofon Typ er bereitstellen muss (oder sie bringen es selber 
mit). Und das beachtet der Tontechniker, egal ob ein 10mal teureres und 
auf dem Papier 10mal besseres Mikrofon hat. Auch ein Mikrofon kann je 
nach Einsatz zum Instrument werden.
(Dies gilt auch für E-Guittare/Bass. Da gehört die ganze Kette Guitarre, 
Effekte, Verstärker Lautsprecher oft auch das Mikrofon zum Instrument).

von J. S. (engineer) Benutzerseite


Lesenswert?

Christoph Z. schrieb:
> Genau dazu zähle ich analoge Synthesizer.
Da muss ich Dir absolut Recht geben und füge noch hinzu, dass analoge 
Synthesizer gerade im Bezug auf die Steuerung ("analog" = "sich 
entsprechend") nicht durch digitale ersetzt werden können, jedenfalls 
nicht durch Konventionelle. Ich möchte hier das Thema MIDI nicht wieder 
aufnehmen, aber wer sich die Kette der Wandlung vom Analogpoti in MIDI 
und der späteren Interpretation durch einen Synthi ansieht, weiss, was 
ich meine. Da ist immer eine Latenz drin und daher ist das weit weg von 
analog, da kein direkter Zusammenhang besteht. Und hörbar / fühlbar ist 
es in der Tat auch.  Das muss aber nicht so sein! Grundsätzlich ist es 
schon möglich, mit einem digitalen Synthi die Klänge so zu produzieren 
und die Funktion so herzustellen, dass sie der analogen Qualtität und 
dem Verhalten entsprechen und Ich meine hier in der Tat, die Vorteile 
der Analogen aufholen und nicht, einfach nur Rauschen und 
Nichtlinearität beizumengen, was natürlich auch zu tun ist. Freilich 
erfordert das einiges an Rechenpower und auch einen entsprechende 
Architektur vor allem, um die Latenz zu drücken. Dies ist bei den 
üblichen (kostenoptimierten1) Geräten nicht gegeben.

Wenn eine Klangsynthese "analog" sein soll, dann muss das Spektrum 
praktisch frei von digitalen Artefakten sein, zumindest soweit, dass sie 
mit den üblichen Messgeräten nicht mehr erfassbar ist. Ferner braucht es 
eine Latenz im Bereich des nicht mehr erfassbaren. Das ist für mich dann 
der Fall, wenn die Schaltung im Bereich von Mikrosekunden auf einen 
Tastendruck oder einen Controller mit Klangänderung reagiert und der 
dt-Fehler im Bereich des dx/dy-Fehlers liegt, der durch das Rauschen im 
Poti verursacht wird. Mit einem FPGA-basierten Synth geht das, mit einem 
Audio DSP nicht.

Bei akustischen Instrumenten
> kritisiert ja auch niemand einen Musiker weil er eine 200 Jahre alte
> Geige spielt.
Ok, aber da wäre das Argument mehr, dass alte Geigen deshalb gespielt 
werden, weil das konkrete Exemplar etwas taugt. Bei Geigen ist das Holz, 
dessen Lagerung und Verarbeitung extrem wichtig. Es gibt nämlich auch 
genug alte Geigen die nicht mehr klingen, weil das Holz schlecht 
gealtert ist, es infolge schlechter Behandlung Risse bekommen hat oder 
es ganz und gar der Backaktion zum Opfer gefallen ist (siehe "Geigen 
backen"). Die Dinger sind aussortiert.  Von daher ist "alt" nicht 
gleichzusetzen mit "gut".


Christoph Z. schrieb:
> Es ist durchaus üblich, dass Sänger einem Tontechniker vorab mitteilen
> welchen Mikrofon Typ er bereitstellen muss (oder sie bringen es selber
> mit). Und das beachtet der Tontechniker, egal ob ein 10mal teureres und
> auf dem Papier 10mal besseres Mikrofon hat.

Das ist eigentlich nochmal was anderes, aber da muss ich Dir sogar zu 
200% Recht geben, allerdings nicht, weil der Musiker das bessere Gehör 
oder Gefühl hat, sondern aus dem Grund, weil der Tontechniker 
schlauerweise nicht die wundersamen (z.T. esotherischen) Auffassungen 
seiner Musikerkundschaft kritisieren sollte :-)  Man darf garnicht 
glauben, was da so alles in den Köpfen umherschwirrt. Am Besten ist es 
da, nichts zu sagen und vor allem keine technischen Argumente zu 
bringen. Und wer sein Sax halt mit einem SM57 aufgenommen haben will, 
der kriegt es so gemacht :-)

: Bearbeitet durch User
von WS (Gast)


Lesenswert?

Antti schrieb:
> ich mache zur zeit mehrere clones von mehreren Audio-DSPs,
> als FPGA cores implementiert:
>
> 1) Alesis/Wavefront AL3101
> 2) TI TAS3108
> 3) eigenes etwas :)
> 4) ? vielleicht noch was existierendes wenns daten gibt (vorschlage?)
Hallo Anti, mich würde interessieren, ob speziell bei dem 
Wavefront-Projekt etwas rausgekommen ist und ob Du da was anzubieten 
hättest.

von Rolf S. (audiorolf)


Lesenswert?

Soweit Ich das sehe, handelt es sich bei dem TE um Antti Lukats, den man 
im Trenz-Forum antreffen kann.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.