Forum: FPGA, VHDL & Co. LCD 2Zeilige Augabe


von Hans H. (hanshirsch)


Angehängte Dateien:

Lesenswert?

Hallo,
ich habe diesen Core im Forum gefunden. Er gibt in den ertsen Zeile des 
Spartan 3 Boards konstant "*" aus, auf der Zweiten Zeile einen Text. Wie 
kann ich ihn umschreiben, damit ich die erste Zeile auch mit Text 
versehen kann?

Gruß,
H.H

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

1
signal data       : std_logic_vector(7 downto 0) := x"23";
DU must zu jeder Adresse data entpsrechedn sinvoll belegen.

von Hans H. (hanshirsch)


Lesenswert?

Da ich noch nicht so viel Erfahrung mit VHDL habe, wäre ich für einen 
konkreten Ansatz dankbar.

Gruß,
H.H

von Dieter (Gast)


Lesenswert?

Ich kann zwar kein Auto fahren, möchte aber einen konkreten Ansatz 
haben,
mit welcher Geschwindigkeit ich in die Nürburgring-Nordschleife fahren 
kann.
Uups, vielleicht sollte ich doch erstmal den Führerschein machen, dann
bin ich zwar noch kein Rennfahrer, aber ich weiss wie man beschleunigt 
und
wie man Hirschen auf der Strasse ausweicht.

;O)
Der Dieter

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

1
TEST: process 
2
    begin
3
    wait until rising_edge(clk_50m);    
4
        if init_done = '1' then
5
            wr      <= '1';
6
            if address /= end_Addr then
7
                address <= address + 1;
8
            else
9
                address <= (others => '0');
10
            end if;
11
            
12
      case address is 
13
              when "00000" => data <= x"68";
14
              when "00001" => data <= x"61";
15
              when "00010" => data <= x"6C";
16
              when "00011" => data <= x"6C";
17
              when "00100" => data <= x"6F";
18
              when others  => data <= x"23";
19
            end case;
20
        end if;
21
    end process;
Schreibt 'Hallo' wenn ich mich jezt nicht vertan habe, kann es leider 
hier gerade nicht testen...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

@ Dieter
> Strasse
... sollte das nicht Straße heißen?
Der Rest ist allerdings korrekt   ;-)

von Hans H. (hanshirsch)


Lesenswert?

>> Uups, vielleicht sollte ich doch erstmal den Führerschein machen, dann
bin ich zwar noch kein Rennfahrer, aber ich weiss wie man beschleunigt

...im Entwicklungszentrum der PORSCHE AG war ich bereits mit einem 911 
turbo auf der Rennstrecke ;-)

Gruß

von Hans H. (hanshirsch)


Lesenswert?

>>Schreibt 'Hallo' wenn ich mich jezt nicht vertan habe, kann es leider
>>hier gerade nicht testen...

Ja, macht es! Danke!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.