Forum: FPGA, VHDL & Co. Xilinx ucf und ise 9


von no_one (Gast)


Lesenswert?

Hallo,
ich bin gerade dabei, mich genauer mit den ucf zu beschäftigen. Ich 
versuche für eine Instanz eine AREA_GROUP Constraint anzulegen. Dies 
erfolgt bei mir mit
1
INST "math_inst_01" AREA_GROUP = "AG_reconfig_addsub" ;
. Die entsprechende Instanz erzeuge ich in dem Top-Modul mit :
1
  
2
math_inst_01 : math_ip   Port map( ... );
.
Wenn ich ISE das System Synthetisieren lasse, gibt es keine Fehler und 
ich kann die unterschiedlichen Module auch im RTL Plan sehen. Sobald ich 
aber das Design Implementieren will, gibt es Fehler. Ich bekomme dann :
"ERROR:NgdBuild:753 - "top.ucf" Line 9: Could not find instance(s) 
'math_inst_01'
ERROR:Parsers:11 - Encountered unrecognized constraint while parsing.
ERROR:NgdBuild:19 - Errors found while parsing constraint file 
"top.ucf"."

Ich habe hier ein Design von Xilinx zum vergleich, wo es genau so 
gemacht wird, aber es lässt sich implementieren. Muss ich noch iwo was 
Einstellen, oder beachten?

MfG

von Fpgakuechle K. (Gast)


Lesenswert?

no_one schrieb:
> Hallo,
> ich bin gerade dabei, mich genauer mit den ucf zu beschäftigen. Ich
> versuche für eine Instanz eine AREA_GROUP Constraint anzulegen. Dies
> erfolgt bei mir mit
1
INST "math_inst_01" AREA_GROUP =
2
> "AG_reconfig_addsub" ;
. Die entsprechende Instanz erzeuge ich in
> dem Top-Modul mit :
1
> math_inst_01 : math_ip   Port map( ... );
.
> Wenn ich ISE das System Synthetisieren lasse, gibt es keine Fehler und
> ich kann die unterschiedlichen Module auch im RTL Plan sehen. Sobald ich
> aber das Design Implementieren will, gibt es Fehler. Ich bekomme dann :
> "ERROR:NgdBuild:753 - "top.ucf" Line 9: Could not find instance(s)
> 'math_inst_01'
> ERROR:Parsers:11 - Encountered unrecognized constraint while parsing.
> ERROR:NgdBuild:19 - Errors found while parsing constraint file
> "top.ucf"."
>
> Ich habe hier ein Design von Xilinx zum vergleich, wo es genau so
> gemacht wird, aber es lässt sich implementieren. Muss ich noch iwo was
> Einstellen, oder beachten?
>
> MfG

Versuch wildcards:
1
INST "*math_inst_01*" AREA_GROUP = "AG_reconfig_addsub" ;
MfG,

von no_one (Gast)


Lesenswert?

Hey...
das hab ich auch schon gemacht ... auch sowas wie top/math_inst_01 hab 
ich schon probiert...hat aber alles nicht hingehauen...

muss ich iwie besonders auf die formatierung achten? oder muss die 
instanz eine besondere größe haben?

mfg

von Andreas (Gast)


Lesenswert?

Hallo No_One,

versuche einmal mit dem FPGA-Editor deine Elemente wiederzufinden.
Dann hast Du auch die korrekte Schreibweise.

Wenn Du z.B. deine Elemente in einer Loop erzyeugst, wird Dir jedes 
Synthesetool (auch die XST) da etwas anghaengen (gen...), das ganze mit 
einem . oder / vom Rest trennen etc. Das ist ein schoenes Spiel, da sich 
das ganze auch ab und an ( bei verschiedenen Softwareversionen, habs 
aber auch schon bei Codeaenderungen an Stellen die nicht direkt in 
Verbindung mit dem jeweiligen Objekt standen beobachtet)...

Gruss

Andreas

von no_one (Gast)


Lesenswert?

hi...
das hatte ich auch schon getan.Anders als die übirgen Komponenten wie 
z.b. microblaze/uart hatte er das  math_inst.. nicht zusammengefasst. 
Es wurde aber alles als top/math_inst_01/.. angezeigt.

Ich werds aber heut abend nochmal probieren.

mfg und schonmal Danke für die Hinweise

von no_one (Gast)


Lesenswert?

Hallo nochmal
ich hab jetzt das ucf auf "*math_inst_01*" geändert, vorher hatte ich 
nur "*math_inst_01" ausprobiert, und jetzt geht es. Was mich aber 
wundert ist, dass es bei dem Bsp. ohne Wildcards geht. Ich versteh nicht 
wirklich wo der unterschied ist....

mfg

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.