Forum: FPGA, VHDL & Co. doxygen und VHDL


von matzunami (Gast)


Lesenswert?

Hallo,

ich lese überall das doxygen auch für VHDL mit gewissen Einschränkungen 
verwendet werden kann. Kann mir jemand sagen was genau das für 
Einschränkungen sind?

Gruß
matzunami

von Mathi (Gast)


Lesenswert?

Ich habe Doxygen + VHDL mal vor etwa zwei Jahren getestet und kann davon 
nur abraten (falls es nicht besser geworen sein sollte).
Meine Intention damals, war es ein Dokument zu erhalten, mit dem man 
schnell die Struktur des Projektes erfassen kann.
Leider war das nicht möglich, da Doxygen wirlich jedes Signal mit in die 
Dokumente übernommen hat und man für jedes einzelne einen Kommentar 
hätte schreiben müssen. Ergebnis: sehr große unübersichtliche 
Ergebnisse.
Man hat gemerkt das es eigentlich für sequentielle (objektorientierte) 
Sprachen gedacht ist.
Aber wie gesagt, das ist zwei Jahre her.
Wenn jemand neuere Erfahrungen hat, wäre ich auch daran interessiert!

Vg,
 Mathi

von matzunami (Gast)


Lesenswert?

dann werd ich wohl mal ein bischen damit rumspielen müssen, um ein paar 
aktuelle ergebnisse zu bekommen, aber trotzdem danke

gruß
matzunami

von eklige Tunke (Gast)


Lesenswert?

matzunami schrieb:
> ich lese überall das doxygen auch für VHDL mit gewissen Einschränkungen
> verwendet werden kann. Kann mir jemand sagen was genau das für
> Einschränkungen sind?
Am besten probierst du das selbst aus, erste Anlaufstelle findest du 
hier http://www.doxygen.nl/docblocks.html#vhdlblocks.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.