Forum: FPGA, VHDL & Co. Umstieg von WebPack 9.2 auf 12.1 -- Library Problem


von Martin K. (mkohler)


Lesenswert?

Hallo,
Ich bin gerade dabei, einen Versuch mit WebPack 12.1 zu starten.
Die Installation war schon mal erfolgreich, beim Synthetisieren des
Testprojekts tauchten aber nun die ersten Probleme auf.

Das auf V9.2 problemlos synthetisierbare/implementierbare Projekt konnte
in V12.1 nicht synthetisiert werden.

Das bemängelte File enthält im Wesentlichen dies:
1
library ieee;
2
use ieee.std_logic_1164.all;
3
4
package KUSB_TypeDef_Package is
5
  type DutyCycleArray is array(12 downto 1) of std_logic_vector(7 downto 0);
6
end package KUSB_TypeDef_Package;

Im Transcript Log wurde folgendes gemeldet:
1
Started : "Synthesize - XST".
2
Running xst...
3
...
4
Compiling vhdl file "C:/.../TypeDef_Package.vhd" in Library work.
5
ERROR:HDLParsers:3014 - "C:/.../TypeDef_Package.vhd" Line 22. Library unit std_logic_1164 is not available in library ieee.
6
WARNING:HDLParsers:3481 - Library work has no units. Did not save reference file "xst/work/hdllib.ref" for it.
7
...
8
Process "Synthesize - XST" failed

Nun habe ich mich ehrlich gesagt mit dem ganzen Library-Gedöns nie
wirklich auseinandergesetzt, die zu benutzenden Library-Angaben wurden
vom Kollegen übernommen.

Welche Library muss ich denn nun nach aktuellem Stand der Dinge
einfügen, um die Standardtypen wie std_logic_vector() etc. zu nutzen?

Gruss, Martin

von Anguel S. (anguel)


Lesenswert?

Das sollte auch in 12.1 laufen. Irgendwie scheint er die Library IEEE 
nicht richtig zu erkennen/finden.

von Martin K. (mkohler)


Lesenswert?

Anguel S. schrieb:
> Irgendwie scheint er die Library IEEE
> nicht richtig zu erkennen/finden.

Hmm... könnte sein, erscheint mir nach einer Neuinstallation aber 
irgendwie komisch.
Muss man dem Projekt noch mitteilen, wo die Libraries liegen?

von me (Gast)


Lesenswert?

mir ist in der 12.1er auch aufgefallen, dass man im Schematic-Editor die 
Wires nicht direkt mit der Maus "anfassen" kann - es geht nur, wenn man 
bei vertikalen Wires ca. 2..3 Rasterpunkte weiter links klickt, dann 
"erwisch" man sie. Wäre ja zumindest für das Top-Design wichtig...
Kann das jemand bestätigen?

MfG

von Martin K. (mkohler)


Lesenswert?

me schrieb:
> mir ist in der 12.1er auch aufgefallen, dass man im Schematic-Editor die
> Wires nicht direkt mit der Maus "anfassen" kann

Mach doch dazu einen eigenen Thread auf ;-)

Das Library Problem ist nun nicht gelöst, aber umgangen.
Ich habe die 12.1 wieder deinstalliert, die WebPack vom Netz gezogen und 
neu installiert.
Diesmal habe ich den vom Installer vorgeschlagene Pfad (C:\Xilinx\12.1\) 
genau so übernommen, ohne ihn auf C:\Programme\Xilinx_121\ umzubiegen.
Nun findet er die Library und implementiert das Minimal-Testprojekt 
korrekt.

Für das nächste Problem eröffne nun auch ich einen anderen Thread...

von Anguel S. (anguel)


Lesenswert?

So ist das, wenn das Marketing-Management von Xilinx Geld verdienen will 
und sich eine Major-Version und 4 (!) Sub-Versionen pro Jahr vornimmt, 
anstatt Upgrades anzubieten, wenn diese wirklich soweit fertig sind...

Martin Kohler schrieb:

> Diesmal habe ich den vom Installer vorgeschlagene Pfad (C:\Xilinx\12.1\)
> genau so übernommen, ohne ihn auf C:\Programme\Xilinx_121\ umzubiegen.
> Nun findet er die Library und implementiert das Minimal-Testprojekt
> korrekt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.