Forum: FPGA, VHDL & Co. GAL + VHDL + ispLEVER


von hansl.petre (Gast)


Lesenswert?

Guten Abend,

für ein Hochschulprojekt sollen einfache Sachen (Zähler, Codewandler, 
Ampel) in VHDL umgesetzt werden. Mir ist bekannt das hierfür kein VHDL 
nötig wäre. Jedoch sollen daraus einfache Praktikumsversuche zum 
Einblick in VHDL entsehen.

Zur Verfügungen stehen hierfür zurzeit die GALs 16v8 oder 22v10. Darauf 
konnten die Schaltungen bereits in ABEL realisiert werden.

Als Software wurde hierbei bis jetzt ispLEVER Starter eingesetzt. 
Version 8.1 unterstützt die GALs leider nicht mehr. In der Hochschule 
ist bis jetzt noch Version 3.0 vorhanden. Da werden die GALs noch 
unterstützt und es können auch Sachen zu VHDL eingestellt werden.

Jedoch liefert die Version 3 dann beim JEDEC File erzeugen einen Fehler 
mit der Lizens.
(FLEXlm -5,35 oder so ähnlich ganz genau könnte ich das erst aus der HS 
sagen falls es wichtig ist)

Meine Frage: Hat jemand Vorschläge wie man das Problem beheben kann ? 
Eventuell andere Programme (zum Schreiben des VHDl Codes und das 
erzeugen eines Files für den GAL... Sollte möglichst Freeware sein und 
auf Win XP laufen (bzw. mit DOS Box oder sowas).

Über hilfreiche Tipps freue ich mich sehr.

Mit besten Grüßen
hansl.petre

von C. Gardiner (Gast)


Lesenswert?

Hi,
Du kannst (kostenlos) die sog. 'Classic Edition' von ispLever von der 
Lattice Webseit herunterladen (http://www.latticesemi.com, Design Tools 
usw. usw.)

Diese Version ist für die 'Legacy Devices' d.h. GALs etc. bestimmt. 
Meines Wissens kann diese Version auch Abel, wenn Du es unbedingt 
willst.

Grüße,
Charles

von hansl.petre (Gast)


Lesenswert?

Ok, vielen Dank.

Ich werde die Version am Montag in der Hochschule mal testen. Und VHDL 
ist damit möglich ?
Ich hoffe damit komm ich zum gewünschten Erfolg.

Mit besten Grüßen
hansl.petre

von C. Gardiner (Gast)


Lesenswert?

Hi,

ja, VHDL Simulation ist mit der Aldec Lattice Web Edition möglich.

Für VHDL Synthese brauchst Du zusätzlich das Synplify Modul. Wenn ich 
mich recht erinnere, musst Du dieses per Maske mit Angabe Deiner Email 
Adresse beantragen.

Nachdem sie geprüft haben, dass der Mädchenname Deiner Mutter nicht Bine 
Laden ist, bekommst Du innerhalb 24 Stunden einen Weblink zum Download.

Viel Erfolg,
Charles

von hansl.petre (Gast)


Lesenswert?

So jetzt muss ich doch nochmal ne allgemeine "Anfängerfrage" stellen.

Der allgemeine Ablauf zu dem ganzen VHDL programmieren.

Soweit ich es bis jetzt kenne von ABEL.

ispLEVER auf - Neues Projekt - GAL auswählen - dann den Quelltext 
anlegen.

Bei Abel erzeuge ich ja dann beim ispLEVER dieses JEDEC-FIle. Und brenne 
das dann mit nem extra Tool auf den GAL

Wie gehe ich nach dem anlegen und schreiben des Queltextes dann bei VHDL 
vor.
Was ist diese VHDl Synthese die man dann durchführt ?

Simulation ist ja nicht nötig wenn ich das ganze direkt mit dem GAL in 
einer Schaltung testen will ?

Ich hoffe ihr könnt mir noch etwas weiterhelfen.

Mit besten Grüßen
hansl.petre

von C. Gardiner (Gast)


Lesenswert?

Hi,

der allgemeine Ablauf ist im Prinzip wie Du es beschrieben hast. In 
ispLever heisst das, Baustein selektieren, Code schreiben, Design Flow 
starten (man kann natürlich auch Code schreiben und später einen 
Baustein selektieren). Anschliessend FPGA/GAL mit *.jedec oder *.bit 
Datei mittels ispVM programmieren. ispLever kann Abel selber 
synthetisieren, für VHDL/Verilog wird ein 3rd Party Tool 'Synplify' 
verwendet. Dieses wird wahlweise als Modul in ispLever (Classic) 
integriert.

Bzgl. der Simulation, Du hast natürlich auch recht, man muss nicht. Ich 
staune sogar immer wieder darüber, wie viele Leute versuchen größere 
FPGAs (manchmal richtig grosse mit PCIexpress u.ä.) ohne jegliche 
Simulation zum Laufen zu bringen (nur durch Loop 1000; brennen; messen; 
schimpfen; aendern; end loop;) Manche haben anscheinend echt keinen 
Termindruck oder streben eine halb-Tages Stelle an (d.h. 12 
Stunden/Tag). Ein Lattice FAE hat hierzu ein nette Folie mit der 
Überschrift "Nur wer Angst hat, simuliert"

Zu Deiner Situation, ich denke in Deinem Projekt geht es zuerst darum 
ein bestimmtes Handwerk zu erlernen. Das geht natürlich einfacher mit 
ein/zwei Zähler, eine Ampelsteuerung, Fahrkartenautomat usw. Ihr habt 
als Studenten schliesslich andere Fächer und vielleicht überhaupt mal 
etwas anderes zu tun. Ich würde aber hoffen, dass ein Testbench bzw. 
auch eine Simulation zu diesem Handwerk gehört. Hier würde es darum 
gehen den Unterschied zwischen einer synthetisierbaren Beschreibung und 
eine etwas abstraktere Verhaltensbeschreibung mit protokollieren (File 
I/O), Testabdeckung (wurde jeder Zustand angesteuert) etc. 
kennenzulernen. Lattice verwendet für die Simulation den Aldec activeHDL 
Simulator, der sich auch aus ispLever starten lässt.

Am besten würde ich sagen, berede es mit Deinem betreuer. Ich gehe 
einfach mal davon aus, dass er ein Konzept hat.

Grüße,
Charles

von Duke Scarring (Gast)


Lesenswert?

C. Gardiner schrieb:
> Bzgl. der Simulation, Du hast natürlich auch recht, man muss nicht
Nein, man muß nicht. Aber wenn der komplette Durchlauf vom Quelltext bis 
zum Bitfile mehrere Stunden dauert (ist mit neueren ISE besser geworden) 
dann ist try&error im System keine ingenieurgemäße Arbeitsweise mehr.

Und es erstaunt immer wieder wie leicht man Fehler einbaut, die schon im 
Simulator mit 'ner halbwegs gescheiten Testbench auffallen. Man kann es 
sich als auch gleich angewöhnen, dann fällt es später nicht so schwer 
:-)

Duke

von hansl.petre (Gast)


Lesenswert?

Ok vielen dank - wozu die Simulationen dienen ist mir bewusst. Jedoch 
geht es in dem Fach vor allem um Grundlagen der Digitaltechnik ... und 
damit verbunden eher das entwerfen der Schaltung mit FFs und aufstellen 
der Logikgleichungen dafür (per Hand). Im ersten Praktikum werden diese 
Schaltungene dann mit einzelnen Gattern und Kabeln von den Studenten 
zusammengesteckt. Und das 2. Praktikum dient dann dazu zu zeigen das man 
diese Gatter auch auf dem GAL realisieren kann.

Am Montag werde ich das ganze nochmal testen.

Falls es weitere Probleme gibt melde ich mich wieder.

Danke.

Mit besten Grüßen
hansl.petre

von hansl.petre (Gast)


Lesenswert?

Hallo, kann sich eventuell jemand mal die Arbeit machen ... mir ein paar 
Screenshots zur Synthese zu erstellen.

Am liebsten mit ispLEVER Classic + dem Synplify Synthesis Module.

Ausgangssituation: Project angelegt - Device gewählt - Quelltext 
geschrieben - ??? wie jetzt weiter.


Falls das mit Bildern zu aufwenig ist - eventuell eine detailierte 
Beschreibung wie man vorgeht (einfach gesagt was muss ich in ispLEVER 
drücken) um vom Quelltext zu einem File komme was ich auf den GAL 
schreiben kann.

Ich hoffe ihr könnt mir hier nochmal helfen - da wäre ich euch doch sehr 
dankbar.

Mit besten Grüßen
hansl.petre

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.