Forum: FPGA, VHDL & Co. Einstellen der IO-Pegel für FPGA Board


von Daniel S. (uc4life)


Lesenswert?

Hallo,

ich habe eine ziemlich doofe Frage:
Wo stelle ich die IO-Pegel ein? Momentan arbeite ich auf meinem 
FPGA-Board mit einem IO-Pegel von 3,3V. Allerdings würde ich gerne auf 
2,5V runter gehen.
Ich muss das doch in Xilinx ISE einstellen, oder? Kann mir einer kurz 
sagen was ich wo einstellen muss?

Ich nutze ein Virtex 4 Borad. Wie schon oben zu ahnen erstelle ich mein 
Code mit Xilinx ISE 9.2....

von Simon D. (simon86)


Lesenswert?

Daniel S. schrieb:
> Wo stelle ich die IO-Pegel ein?

im UCF File (Constraint File) indem du auch die Pin Zuordnung machst

z.B. NET "DA0M" LOC = B16 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE;

Daniel S. schrieb:
> Momentan arbeite ich auf meinem
> FPGA-Board mit einem IO-Pegel von 3,3V. Allerdings würde ich gerne auf
> 2,5V runter gehen.

der FPGA kann die Spannung nicht einfach runtersetzen... Jede 
FPGA-IO-Bank hat einen eigenen Versorgungspin - wenn dieser Pin mit 3,3V 
versorgt wird kann man die Ausgänge nicht auf 2,5 V stellen sondern muss 
dafür sorgen, dass diese Bank mit 2,5 V versorgt wird -> nachzulesen im 
Datenblatt unter "FPGA Bank Rules"...

von Daniel S. (uc4life)


Lesenswert?

Die einzelnen Bänke kann ich am FPGA selber runtersetzen. Das habe ich 
bis jetzt auch so gemacht.... Allerdings ist es nicht gerade elegant, 
wenn der FPGA 3,3V erwartet, aber nur 2,5V bekommt....

Wofür stehen die einzelnen Anweisungen denn in dem ucf-File?
NET "DA0M" LOC = B16 Das ist klar. -> IO DAOM wird mit Pin B16 
verbunden.

Die nächsten beiden Anweisungen sind mir nicht klar
IOSTANDARD = LVDS_25 -> ????????
 DIFF_TERM = TRUE  -> ?????

von Michael (Gast)


Lesenswert?

>IOSTANDARD = LVDS_22
Lo voltage Differential Signalling mit 2.5V

>DIFF_TERM = TRUE
internen Terminator der diff Ausgänge anschalten

von Daniel S. (uc4life)


Lesenswert?

OK. Danke. Damit bin ich schonmal erheblich weiter.

Und wie sieht das im Einzelnen aus, wenn ich einen Bereich auf 3,3V und 
einen auf 2,5V betreiben will?
zb Bank 1 3,3V Bank 2 2,5V?

von Christian R. (supachris)


Lesenswert?

Dann musst du die VCCO Anschlüsse der Bank 1 an 3,3V anschließen und die 
VCCO Anschlüsse der Bank 2 an 2,5V. Im UCF dann für die Pins an Bank 1 
LVCMOS33 einstellen und bei Bank 2 LVCMOS25 (wenn du keine Spezialitäten 
wie LVDS oder so machst).

von Daniel S. (uc4life)


Lesenswert?

OK. Super. Klappt alles :-)
Danke für eure Hilfe....

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.