Forum: FPGA, VHDL & Co. Xilinx ab Version 12.2 nicht mehr mit ModelSim XE?


von Martin K. (mkohler)


Lesenswert?

Hallo,
Der Kollege hat mir gerade mitgeteilt, dass Xilinx ab Version 12.2 oder 
ModelSim nicht mehr unterstütze. Er findet jedoch die entsprechende 
Meldung nicht mehr.
Konkret würde das bedeuten, dass für die weiteren ISE Webpack Versionen 
ab 12.2 keine Unterstützung von ModelSim Xilinx Starter Edition mehr 
existieren würde.

Weiss jemand, ob da was dran ist?
Gruss, Martin

von Xenu (Gast)


Lesenswert?

Google ist Dein Freund. Hat mich 30 Sekunden gekostet:

http://www.xilinx.com/support/documentation/customer_notices/xcn10028.pdf

von Martin K. (mkohler)


Lesenswert?

Xenu schrieb:
> Google ist Dein Freund. Hat mich 30 Sekunden gekostet:
Du bist mein Held! Ich hatte wohl die falschen Suchbegriffe verwendet. 
Danke für den Link.

Das heisst jetzt aber, dass ich mich relativ schnell darauf einstellen 
muss, nicht mehr mit ModelSim arbeiten zu können?
License Files werden ja scheinbar nur noch bis Ende September 
herausgegeben, mein PC soll aber wohl in den nächsten Wochen mal ersetzt 
werden.

von Martin K. (mkohler)


Lesenswert?

Hmm...
Bin ich der einzige den der von Xilinx "empfohlene" Umstieg auf ISIM 
stört?
Arbeitet ihr alle mit der gekauften Version von ModelSim?

von Christian R. (supachris)


Lesenswert?

Hm, das ist wirklich blöd. Wir arbeiten mit der gekauften MXE 3. Der 
Schritt von den 800 Euro zum 5-stelligen Betrag für Modelsim PE ist 
schon heftig. Dazu noch die 2k€ pro Jahr für den 
Wartungsvertrag.....hmm...nicht schön. Die XE hatte eigentlich bisher 
ausgereicht.

von Duke Scarring (Gast)


Lesenswert?

Christian R. schrieb:
> 5-stelligen Betrag für Modelsim PE

Mir hat man letztens einen mittleren 4stelligen Betrag genannt (PE, nur 
VHDL, ohne Wartung).

Duke

von Christian R. (supachris)


Lesenswert?

Hm, uns hat Mentor im Februar ein Angebot gemacht für knapp unter 10k 
incl. Fraunhofer-Rabatt. Ohne Rabatt etwas über 10k. Aber ist vielleicht 
Mixed, das kann sein.

von D. I. (Gast)


Lesenswert?

Das ist wirklich blöde. Nun gut solange ich noch an der Uni bin habe 
freien Zugriff auf Modelsim SE, danach werde ich dann privat halt Altera 
verwenden zum experimentieren, da kann man in der WebEdition wenigstens 
SignalTap und ModelSim verwenden.

von Segor (Gast)


Lesenswert?

DAs ist doch aber nur die XE nicht die Webedition?

von Christian R. (supachris)


Lesenswert?

Segor schrieb:
> DAs ist doch aber nur die XE nicht die Webedition?

Wenn du mit WebEdition die ModelSim XE Starter Variante meinst dann 
doch. Die wird auch eingestampft.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Was macht Xilinx dann ohne Simulator?
Der ISIM ist ja wohl noch nicht ganz fertig...  :-/

von Christian R. (supachris)


Lesenswert?

Doch, der ISIM funktioniert schon ganz gut, und auch für x64 
mittlerweile. Nur ist der nicht so schön zu bedienen und zu 
automatisieren wie ModelSim, finde ich.

von Segor (Gast)


Lesenswert?

Ich verwende den ISIM im Hintergrund von MATLAB. Viel bequemer, als 
ModelSIM.

>Wenn du mit WebEdition die ModelSim XE Starter Variante
Das sind doch zwei Paar Schuhe.(?)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Christian R. schrieb:
> Doch, der ISIM funktioniert schon ganz gut...
Das schon, aber ist ist eben wie ein Kleinwagen mit spartanischer 
Ausstattung verglichen mit einem Oberklassenfahrzeug mit allem 
Schnickschnack: beide fahren von A nach B, aber mit dem Zweiten bist du 
schneller und komfortabler am Ziel. Zudem man merkt erst, wenn man etwas 
Spezielleres braucht, dass beim Einen irgendwas fehlt, was beim Anderen 
selbstverständlich war.

von Christian R. (supachris)


Lesenswert?

@ Lothar: Genau das meinte ich mit meinem Posting. Er geht zwar 
mittlerweile, aber alles andere als bequem, schnell und leistungfähig. 
Haben die mittlerweile endlich mal Analog-Darstellung eingebaut?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Christian R. schrieb:
> Haben die mittlerweile endlich mal Analog-Darstellung eingebaut?
Nein. Das gehört zur Oberklasse... ;-)

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

Lothar Miller schrieb:
> Christian R. schrieb:
>> Haben die mittlerweile endlich mal Analog-Darstellung eingebaut?
> Nein. Das gehört zur Oberklasse... ;-)

Ich nutze GHDL zum Simulieren und zum Anschauen gtkwave.
Da gibt es eine Analoge Darstellung. Das hat nichts mit Ober- oder 
Unterkasse zu tun.

von Duke Scarring (Gast)


Lesenswert?

René D. schrieb:
> Das hat nichts mit Ober- oder
> Unterkasse zu tun.

Klar. GHDL ist Oberklasse ;-)
Leider gefällt mir die Integration von GHDL und gtkwave noch nicht so 
richtig.

Duke

von Peter (Gast)


Lesenswert?

Von einem FAE bei Avnet habe ich gehört dass er vermutet, auch Altera 
könnte Modelsim aus dem Produktekatalog nehmen, da Mentor scheinbar an 
der Preisgestaltung für die FPGA-Hersteller etwas geändert hat. Jetzt 
ists wahrscheinlich zu teuer.... das wird ja heiter...

von Xenu (Gast)


Lesenswert?

Zur Analogdarstellung von Werten in ISIM gibt es hier etwas von den 
Xilinx-Leuten:

http://forums.xilinx.com/t5/Simulation-and-Verification/ISIM-pretty-but-missing-vital-functionality/m-p/78633

Zitat:
"We are hoping to get this in our 13.1 release which is planned for 
Feb/March of next year."

von Klaus (Gast)


Lesenswert?

Gibt es noch irgendeine Möglichkeit, eine kostenlose Version von 
Modelsim für Xilinx irgendwo herzu bekommen?

von Christian R. (supachris)


Lesenswert?

Du könntest dich bei Mentor als Student anmelden und die ModelSim PE 
Student Edition dann kostenlos herunter laden.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.