Forum: FPGA, VHDL & Co. Cannot read output ERROR mit ModelSim- warum?


von Volker G. (blacky)


Angehängte Dateien:

Lesenswert?

Hallo
Ich arbeite gerade an einem kleineren Projekt stolpere nun schon seit 
einigen Tagen über ein Problem des Lösung mehr einfach nicht einfällt.
Ich bekomme immer wieder denselben Fehler sobald ich versuche den 
Zwischenspeicher in den Controller einzubinden:

** Error: C:/Users/Xandara/RNI und NoC Projekt/C2RController.vhd(98): 
Cannot read output "data_out_to_buffer".

bis auf die Signale clk und rst mault er bei der Einbindung bei allen 
Signalen rum und ich sehe den Fehler einfach nicht. Die datentypen 
stimmen und die Zuweisung eigentlich auch, in einem anderen Teil des 
Projektes habe ich genauso gemacht und es funktionierte.
Die Komponentenberscheibung ist in der noc_pack wie auch alle anderen 
und dennoch mault er mich bei diesem Zwischenspeicher an.
ich habe die vhd-Dateien mal angehängt ich hoffe einer von euch kann mir 
sagen was ich die ganze Zeit übersehe.

MfG
Blacky

von Duke Scarring (Gast)


Lesenswert?

Volker G. schrieb:
> Cannot read output "data_out_to_buffer".

Du versuchst data_out_to_buffer zu lesen. Weil Du es als Eingang in 
C2RBuffer.vhd verwendest. Evtl. brauchst Du noch ein Zwischensignal.

(Im Package hab ich jetzt nicht geguckt. Aber es empfielt sich 
dringlichst alle entity und component Geschichten konsistent zu 
halten, egal ob mit oder ohne Package.)

Duke

von Volker G. (blacky)


Lesenswert?

oh mein gott

klar das es nicht ging ich versuche intern liegende signale zum Speicher 
nach außen an die entity zu legen.

Danke vielmals der Tipp war der richtige anstoß

MfG
Blacky

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.