Moin,
ich habe hier gerade so ein Problem vor mir.
Beim versuch diese Zeile zu übersetzen kommt ein Fehler:
FATAL INTERNAL ERROR: FPGA library: HDLParsers:3329 - ... Line 165.
Expression in type conversion to std_logic_vector has 2 possible
definitions in this scope, for example, data_array and
std_logic_vector.
1 | use.ieee.numeric_std.all
|
2 | -- Hier liegt, glaube ich, das Problem : --
|
3 | type data_array is array (0 to 2) of std_logic_vector(31 downto 0);
|
4 | --------------------------------------------
|
5 |
|
6 | signal a : signed(31 downto 0);
|
7 | signal b : std_logic_vector(31 downto 0);
|
8 | signal c : std_logic_vector(15 downto 0);
|
9 |
|
10 | a <= signed(std_logic_vector(std_logic_vector(b(15 downto 0)) & std_logic_vector(c)));
|
11 | -- auch probiert habe ich folgendes immer der gleiche Fehler
|
12 | a <= signed(std_logic_vector(b(15 downto 0)) & std_logic_vector(c));
|
13 | a <= signed(b(15 downto 0) & c);
|
Das Problem ist, so glaube ich, meine Type data_array. Es ist
anscheinend nicht eindeutig das ich in der Zeile einen signed aus zwei
std_logic_vector haben will. Wie schreibt man das richtig??
mfg
hans