Forum: FPGA, VHDL & Co. Multisim Fehler


von None B. (nioo)


Angehängte Dateien:

Lesenswert?

Hallo zusammen hoffe einer kann mir weiter helfen, ich bekomme immer 
folgenden fehler von Multisim:

run
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 2  Instance: /tb_sinc3_filter/inst_01
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, 
the result will be 'X'(es).
#    Time: 0 ps  Iteration: 2  Instance: /tb_sinc3_filter/inst_01

soweit ich das richtig verstehe werden gleichzeitig zwei Signale an den 
Bus gelegt. Hoffe das jemand mal kurz Zeit hat und den fehler findet, 
ich finde ihn leider nicht.

von D. I. (Gast)


Lesenswert?

So wie ich das lese, befindet sich ein 'U'|'X'|'W'|'Z'|'-' in einem 
Operand mit dem gerechnet wird (numeric_std)

von None B. (nioo)


Lesenswert?

hab hier ein Buch in dem Stand das bei dieser Fehler Meldung wohl eine 
doppel belegung vorliegt.

hast du denn eine Ahnung woran es liegen könnte?

von bko (Gast)


Lesenswert?

Ich denke das kommt aus den "Arith" Package: zum Zeitpunkt 0 ps
sind einfach alle Signale noch U, und daran mäkelt das Package herum.
Ich habs im Simulator einfach abgeschaltet (Ich weiß aber nicht wie das 
im "multisim" geht).

Und dies habe ich noch aus einer hier wohlbekannten Homepage
hierher kopiert:
>Die gerne noch verwendeten Quasi-Industrie-Standards der Synopsis-Lib >sind schon 
geraume Zeit obsolete.

>library IEEE;
>use IEEE.STD_LOGIC_1164.ALL;
>use IEEE.STD_LOGIC_ARITH.ALL;
>use IEEE.STD_LOGIC_UNSIGNED.ALL;

>Statt dessen sollte die Numeric_Std Library verwendet werden. Diese ist 
>herstellerunabhängig und über IEEE 1076.3 genormt.

>library IEEE;
>use IEEE.STD_LOGIC_1164.ALL;
>use IEEE.NUMERIC_STD.ALL;
aus
http://www.lothar-miller.de/s9y/archives/14-Numeric_Std.html

von Duke Scarring (Gast)


Lesenswert?

None Blub schrieb:
> # ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand,
> the result will be 'X'(es).
Die Ursache wurde ja schon benannt.

In diesem Modul findest Du die fragliche Rechenoperation:
> #    Time: 0 ps  Iteration: 2  Instance: /tb_sinc3_filter/inst_0

Ich helfe mir so:
1
# simulation start script
2
do wave.do
3
4
set StdArithNoWarnings 1
5
set NumericStdNoWarnings  1
6
run 0 ps
7
8
set StdArithNoWarnings 0
9
set NumericStdNoWarnings 0
10
run -all

@bko:
Auch ieee.numeric_std spuckt solche Warnungen aus...

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

bko schrieb:
> zum Zeitpunkt 0 ps sind einfach alle Signale noch U, und daran mäkelt
> das Package herum. Ich habs im Simulator einfach abgeschaltet
Ich würde Signale einfach vorbelegen...  ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.