Forum: FPGA, VHDL & Co. Sim xcore RAM mit Modelsim


von Jan O. (Firma: keine) (quamstar)


Lesenswert?

Hey Leute,

versuche derzeit ein simple DUALPORT-RAM (Core Generator) unter Modelsim 
zu simulieren. Dabei spuckt mir Modelsim folgende Fehlermeldung aus:

...
# -- Loading package standard
# -- Loading package std_logic_1164
# ** Error: ipcore_dir/MEM_RAM.vhd(41): Library xilinxcorelib not found.
# ** Error: ipcore_dir/MEM_RAM.vhd(43): VHDL Compiler exiting
# ** Error: C:/Modeltech_pe_edu_6.6c/win32pe_edu/vcom failed.
# Error in macro ./TB_MEM.fdo line 23
# C:/Modeltech_pe_edu_6.6c/win32pe_edu/vcom failed.
#     while executing
# "vcom -explicit  -93 "ipcore_dir/MEM_RAM.vhd""

Compiliert habe ich so wie ich es damals mal mit nem ROM gemacht habe 
(auch Core Generator). Der Witz ist das es an einem anderen Rechner 
funktioniert. Hat von Euch vll ne Idee was man noch machen könnt um 
diesen Fehler zu beheben?

Modelsim PE Student 6.6c nutze ich

Danke im Voraus

von Steffen H. (avrsteffen)


Lesenswert?

Hallo Jan

Mein Problem ist ähnlich!
Siehe: Hilfe! MODELSIM: error: Library not found

Bei mir ist es halt unter Lattice der Fall.

von Jan O. (Firma: keine) (quamstar)


Lesenswert?

Verwende XILINX ISE Design Suite 12.2_1

von Steffen H. (avrsteffen)


Lesenswert?

Und hier schon weiter gekommen?

von Jan O. (Firma: keine) (quamstar)


Lesenswert?

leider nein..

von berndl (Gast)


Lesenswert?

hast du schon mal nach 'compxlib' gesucht? Damit kannst du deine Xilinx 
Libraries fuer Modelsim compilieren. Dann noch in der modelsim.ini die 
Aufloesung auf 1ps stellen und gut iss

von Jan O. (Firma: keine) (quamstar)


Lesenswert?

berndl schrieb:
> hast du schon mal nach 'compxlib' gesucht? Damit kannst du deine Xilinx
> Libraries fuer Modelsim compilieren.

Gefunden ja, welche Dateiendung soll die denn haben und was soll ich 
damit dann machen?

von Duke Scarring (Gast)


Lesenswert?

Jan O. schrieb:
> welche Dateiendung soll die denn haben und was soll ich
> damit dann machen?

Das ist eine ausführebare Datei. Und für Leute wie Dich gibt es in 
aktuellen ISE-Versionen auch compxlibgui.

Duke

von Steffen H. (avrsteffen)


Lesenswert?

Welche ISE Version hast du denn?

von Steffen H. (avrsteffen)



Lesenswert?

Ich hab bei mir (ISE 9.1) sowas hier gefunden:
XILINX\9.1\vhdl\src\XilinxCoreLib
=> da stehen lauter *.vhd Datein drin. Ich glaube du musst dir in 
Modelsim eine neue Library anlegen mit Namen "XilinxCoreLib"

Ich hab es folgendermaßen gemacht:
=> Neuen Ordner im Haupt-Verzeichnes von Modelsim mit Namen 
"XilinxCoreLib"
   anlegen
=> Im Programm ModelSim neue Library anlegen. Der Library Name ist 
dabei
   "XilinxCoreLib" und der Library Physical Name ist der Pfad deines 
neu
   angelegten Ordners unter dem Hauptverzeichnis von ModelSim
=> Dann alle Dateien die bei deiner ISE-Version unter
   "XILINX\9.1\vhdl\src\XilinxCoreLib" stehen kompilieren. Vorsicht bei 
dem
   Fenster Compile Source File ! Bei Library die richtige Lib 
einstellen!
   Noch alle Files markieren und das Häkchen bei
   "Compile selected files together" setzen, dann Compile Button 
drücken.

Jetzt sollten all deine Libs von XilinxCoreLib zur Verfühgung stehen. 
Hab es nicht ausprobiert. Aber bei meiner XP-Lib von Lattice hat es 
jetzt alles geklappt.

Viel Erfolg!

von Steffen H. (avrsteffen)


Angehängte Dateien:

Lesenswert?

Oh sch... hab noch ein Bildchen vergessen :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.