Forum: FPGA, VHDL & Co. FPGA Speicher


von Connectus Y. (mit_y)


Lesenswert?

Hallo Zusammen,

ich bin neu in diesem Bereich deswegen habe ich einige einfache Fragen.

ich habe ein programm mit C geschrieben, und das Programm liest ein Text 
Datei aus.

ich soll das Programm in FPGA laufen lassen.

Wo soll ich die Text Datei in FPGA speichern?
Kann ich es in SDRAM spiechern? Wie?
Gibt es irregendwo eine nützliche Dokumantation?

Mein FPGA ist Xilinx Virtex 5 ML506 und ich habe kein Flash Card . Die 
Datei ist ungefähr 65 Kb groß. Außerdem benutze ich Xilinx Platform 
Studio.

Danke im Voraus

von ??? (Gast)


Lesenswert?

Bist du ein Troll?

von Connectus Y. (mit_y)


Lesenswert?

??? schrieb:
> Bist du ein Troll?

Warum? :)

von ??? (Gast)


Lesenswert?

-FPGAs programmiert man nicht in C.
-Selbst wenn, eine C-Datei muss erst "verarbeitet" (compiliert usw.) 
werden. 65kB klingt nach einem großen Programm, wer sowas schreibt 
müsste sich doch etwas auskennen.
-Textdatei klingt mehr nach PC, C ist für solche Aufgaben durchaus 
angemessen.
-Deine Rechtschreibung/Grammatik ist grausam. -->"programm mit C 
geschrieben", "ein Text Datei" , "Programm in FPGA laufen lassen", 
"spiechern", "irregendwo", "Dokumantation" usw.
-...

von Connectus (Gast)


Lesenswert?

??? schrieb:
> -FPGAs programmiert man nicht in C.
> -Selbst wenn, eine C-Datei muss erst "verarbeitet" (compiliert usw.)

Das kann man mit Xilinx Platform Studio erledigen. XPS hat ein C zu .elf 
Compiler.

> werden. 65kB klingt nach einem großen Programm, wer sowas schreibt
> müsste sich doch etwas auskennen.

Das Programm ist sehr klein. Die datei, die das Programm auslesen soll 
ist 65 kB.
Denk mal logisch!!!

> -Textdatei klingt mehr nach PC, C ist für solche Aufgaben durchaus
> angemessen.

Ich habe nur C zu .elf compiler

> -Deine Rechtschreibung/Grammatik ist grausam. -->"programm mit C
> geschrieben", "ein Text Datei" , "Programm in FPGA laufen lassen",
> "spiechern", "irregendwo", "Dokumantation" usw.
> -...

Ich bin doch ausländer :) Mein Englisch ist nicht besser als mein 
Deutch. Wenn ich aber in einem Forum auf Englisch etwas schreibe, 
bekomme ich nie solche schlimme Antworten.
Du muss dich an solche Sprachfehlern gewöhnen.

Außerdem: wenn du die Antwort nicht weiß, muss du nicht immer versuchen 
etwas zu schreiben.

von Mario M. (muraer)


Lesenswert?

Du könntest die Daten in die BRAMs speichern.
Guck dir mal das "data2mem" Tool von Xilinx an, das drückt dir .elf 
Files in Brams.

Lg
Mario

von Frank B. (foobar)


Lesenswert?

Wenn er C Dateien nach ELF compiliert und die auf dem FPGA ausführt, 
dann hat er wahrscheinlich ein Softcore wie Microblaze dort laufen. Je 
nachdem wie groß ein angeschlossenes SDRAM ist, könnte man die Datei 
einfach zum C-Programm zulinken, z.B. indem man die Datei in ein C-Array 
umwandelt mit einem der vielen verfügbaren Programme dafür, falls man 
sowas nicht selber schreiben will:

http://www.google.de/search?q=bin2hex+c

Dann braucht man keine Laderoutine und bei der kleinen Dateigröße wäre 
das auch noch gut machbar. Ansonsten kann man bestimmt auch auf das 
Konfigurations-Flash für den Xilinx-FPGA zugreifen und u.U. dort auch 
zustätzliche Dateien ablegen, falls nicht der gesamte Speicher des 
Config-Flashs zur Konfiguration des FPGAs verwendet wird (ging zumindest 
bei Altera so, habe das dort mal gebraucht).

von ??? (Gast)


Lesenswert?

Frank Buss schrieb:
> Wenn er C Dateien nach ELF compiliert und die auf dem FPGA ausführt,
> dann hat er wahrscheinlich ein Softcore wie Microblaze dort laufen.
Das würde einiges erklären, logisch dass man solche Nebensächlichkeiten 
nicht erwähnt...

von ... (Gast)


Lesenswert?

Viel schlimmer finde ich den Umstand, dass man scheinbar nicht in der 
Lage ist sich selber zu informieren und weiterzubilden.

Connectus Y. schrieb:
> Gibt es irregendwo eine nützliche Dokumantation?

http://www.xilinx.com ... da gibt es tausende von Dokumenten, auch zum 
Thema Softcore/Microblaze/Picoblaze ... und die Designfiles gibts auch 
noch dazu. Einfacher gehts wirklich nicht. Ein paar Minuten 
selbstständige Suche sind nicht zu viel verlangt. Andernfalls darf man 
sich nicht über abwertende Bemerkungen wundern. ( Die Rechtschreibung 
lassen wir mal außen vor, die können auch viele Muttersprachler nicht ;) 
)

von Ale (Gast)


Lesenswert?

@Connectus:

Im Forum gibt es viele Arroganten die glauben daß wenn die andere 
schlechte Deutsch schreiben nichts wissen (!) :( und versuchen nicht zu 
verstehen :(, viele Schüler die Hausausgaben machen muss und auf fertige 
Lösungen suchen ein paar kluge Leute und vieles mehr.

Leider triffts du die Arroganten zuerst :(.

Du hast leider was ganz allgemein gefragt ohne viel Deteils. Xilinx hat 
jede menge App notes and Docs, versucht ein besseres Bild von was du 
hast und was du schaffen muss und wie es funktioniert und dann frag was 
mehr specifish :)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ale schrieb:
> Du hast leider was ganz allgemein gefragt ohne viel Deteils.
Ja, das stimmt allerdings...

Es ist ganz einfach: um einen C-Code "lufen" zu lassen, braucht man 
einen Porzessor. Und nur aus dem Abschnitt
> Mein FPGA ist Xilinx Virtex 5 ML506 und ich habe kein Flash Card . Die
> Datei ist ungefähr 65 Kb groß. Außerdem benutze ich Xilinx Platform
> Studio.
würde ich raten, dass es sich um ein Microblaze-Design handelt.

> ich habe ein programm mit C geschrieben, und das Programm liest ein Text
> Datei aus.
Eine "Datei" bedingt auch ein "Dateisystem", das diese Datei verwalten 
kann. Und damit hast du auch Dateioperationen (in C z.B. fread(), 
fwrite()).

> Wo soll ich die Text Datei in FPGA speichern?
Woher kommt die Testdatei? Wohin willst du sie schreiben?
(es ist Unsinn, eine Textdatei nur auszulesen)

Alternativ könntest du die Textdatei in ein character-Array umwandeln, 
aber das ist die absolute Holzhammermethode...

Connectus schrieb:
> Du muss dich an solche Sprachfehlern gewöhnen.
Nein, nicht unbedingt...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.