Forum: FPGA, VHDL & Co. mit ModelSim PE Student Edition 10.0


von Hatem M. (sihamile)


Lesenswert?

Liebe Freunde,

ich habe vor kurzen die Student Version 10.0 vom ModelSim von der Seite

http://model.com/content/modelsim-pe-student-edition-hdl-simulation

heruntergeladen.

Die Installation ist einwandfrei gelaufen. Nun möchte ich wissen, ob 
jemanden ein Schritt für Schritt tutorial hat. Ich habe versucht anhand 
ein einfachen und.vhdl file und ein Test Bench vhdl file das Verhalten 
ein Und Gatter zu simulieren leider kommt unter der Transcript immer 
diese Fehlermeldung an.

# Error loading design

Ich bitte um Tipps oder am bestens ein Schritt für Schritt Tutorial.

Danke
Sihamile

von Duke Scarring (Gast)


Lesenswert?

Da sind doch Tutorials dabei: Help -> PE_Documentation - PDF Bookcase -> 
Modelsim PE Tutorial

Duke

von erwin86 (Gast)


Lesenswert?

Auf der Seite die du angegeben hast befindet sich ebenfalls ein Link zu 
einem Tutorial...

http://portal.model.com/modelsim/resources/references/modelsim_tut.pdf

von Hatem M. (sihamile)


Lesenswert?

Danke erstmal für die Antworten,

ich habe die angegebenen Tutorials gefolgt.
Leider kommt bei mir immer noch der gleiche Fehler und zwar beim Laden 
des Design

# Error loading design

also bis zum Compilieren der beiden Datei (und Gatter und test_bench des 
und Gatters) funktionniert noch gut. Wenn ich jetzt versuche zu laden, 
sprich auf dem compielierten test_bench zwei mal klicke, dann kommt der 
oben gennante Fehler.

Hat jemanden eine Idee voran es liegen könnte.

Danke

von Duke Scarring (Gast)


Lesenswert?

Dann stell doch mal bitte die beiden Dateien (als Anhang) hier ein.

Duke

von Hatem M. (sihamile)


Angehängte Dateien:

Lesenswert?

hier sind beide Dateien.

von Klaus (Gast)


Lesenswert?

Meine Güte, willst du überhaupt Hilfe? Dann nenn doch auch mal die 
komplette Fehlermeldung.

von Hatem M. (sihamile)


Lesenswert?

Das war es mit der Fehlermeldung

# Error loading design

mehr ist nicht rausgekommen.

oder kann man weitere Fehlermeldungen aufrufen?

von Michael (Gast)


Lesenswert?

du hast einen compilationsfehler oder eine fehlende komponente

von Hatem M. (sihamile)


Lesenswert?

Michael schrieb:
> du hast einen compilationsfehler oder eine fehlende komponente

wie ich schon sagte, beide vhdl Datei wurden erfolgreich kompiliert.
Was kann für eine Komponente sein?

von T. M. (xgcfx)


Lesenswert?

Schau mal in dem work-Ordner, wo Modelsim seine Dateien ablegt, nach 
einer Datei namens 'transcript'. Da stehen alle Statusmeldungen und 
dergleichen drin, manchmal auch Näheres bei Abstürzen und dergleichen.

von Duke Scarring (Gast)


Lesenswert?

Ich habe mal das hier auf der Kommandozeile eingegeben:
1
vlib work
2
vcom und.vhd
3
vcom tb_und.vhd
4
vsim -gui tb_und

Im sich darauf öffnenden Modelsim-Fenster dann das hier:
1
# Loading std.standard
2
# Loading ieee.std_logic_1164(body)
3
# Loading work.tb_und(test)
4
# Loading work.und(rtl)
5
6
VSIM 1> add wave*
7
VSIM 2> run 200 ns

Ich kann da keine Fehler erkennen...

Duke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.