Forum: FPGA, VHDL & Co. rechts schieben


von newbie (Gast)


Lesenswert?

Hallo, bin totaler anfänger und hab mal eine kurze frage

einen vector nach links zu schieben (vergrößern) ist ja kein problem..

ausgang(11 downto 4)<=eingang(7 downto 0);

aber wie geht das wenn ich den anders herum schieben möchte um ihn zu 
verkleinern bzw. halbieren?

ausgang(7 downto 0)<= eingang(11 downto 0) das funktioniert ja so nicht?

wäre super wenn mir kurz einer helfen könnte

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

newbie schrieb:
> das funktioniert ja so nicht?
Ja klar, weil die Vektorbreite nicht passt. So gehts:
ausgang(7 downto 0) <= eingang(8 downto 1);

Hier wird aber in der Hardware nichts irgendwie geschoben, sondern 
lediglich umverdrahtet.

von newbie (Gast)


Lesenswert?

Hey..

das das funktioniert weiß ich auch
ausgang(7 downto 0) <= eingang(8 downto 1);

aber wie mache ich denn aus einem 9 bit großen Vector der von (8 downto 
0) geht und nicht (8 downto 1) einen 5 bit großen? ich will meine Werte 
halbieren

kann ich einfach von links nullen reinschieben sodass sich der ganze 
vector nach rechts verschiebt?

eingang "01101100" das will ich um 3 nach rechts quasi dividieren
das am ausgang das steht ausgang"00001101"

wie macht man das am einfachsten?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

newbie schrieb:
> aber wie mache ich denn aus einem 9 bit großen Vector der von (8 downto
> 0) geht und nicht (8 downto 1) einen 5 bit großen? ich will meine Werte
> halbieren
Einfach die Bits abzählen?

newbie schrieb:
           76543210
> eingang "01101100" das will ich um 3 nach rechts quasi dividieren
                                   76543210
> das am ausgang das steht ausgang"00001101"
also willst du das:
ausgang(7 downto 5) <= "000";
ausgang(4 downto 0) <= eingang(7 downto 3);

oder in der Kurzform:
ausgang <= "000"&eingang(7 downto 3);

von newbie (Gast)


Lesenswert?

ach ja na klar :)

vielen danke für die Hilfe!!!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.