Forum: FPGA, VHDL & Co. ISE 13.1 WebPack kein ModelSim mehr --> dann isim?


von Olli R. (downunderthunder42)


Lesenswert?

Hallo,

ich habe noch keine Erfahrungen auf dem Gebiet der 
FPGA/CPLD-Programmierung (VHDL) gemacht.

Eigentlich wollte ich das "WebPack ISE 10.1i" nutzen. Leider lässt sich 
das nicht auf meinem System installieren.
Die Simulation sollte dann über "ModelSimXE6.3c" erfolgen. Allerdings 
wird das nicht mehr von Xilinx unterstützt und ich kann es deswegen 
nicht nutzen.
(Diese beiden Programme werden von der Hochschule bereitgestellt!).

Da beides irgendwie nicht läuft, habe ich stattdessen das WebPack ise 
13.1 installiert.
Ich denke, dass sollte dann auch noch zu 10.1 kompatibel sein?

Mit dem ISE 13.1 wird für die Simulation "isim" geliefert. Kann mir 
jemand grob beschreiben, wie das funktioniert?


Als Tutorial für das ISE 13.1 habe ich das folgende gefunden:
http://www.digilentinc.com/Data/Documents/Tutorials/Xilinx%20ISE%20WebPACK%20VHDL%20Tutorial.pdf

Ist das gut? (ich habe da nichts zum Thema "Simulation" gefunden?

von Christian R. (supachris)


Lesenswert?

Modelsim läuft ja deswegen trotzdem noch. Nur gibts keine 
vorkompilierten Libs mehr für die aktuellen IP-Cores von Xilinx. Man 
kann die aber auch selber kompilieren, die Skripte sind bei Modelsim 
dabei, muss man nur anpassen. Geht allerdings dann auf die Statement 
Begrenzung, was dann irgendwann die Geschwindigkeit runter setzt. ISim 
ist zwar mittlerweile brauchbar, aber keine wirkliche Alternative zum 
ModelSim.

von Olli R. (downunderthunder42)


Lesenswert?

Christian R. schrieb:
> Modelsim läuft ja deswegen trotzdem noch

aber bei Xilinx steht, dass es nicht möglich, weitere "licence-files" zu 
generieren. Deswegen bekomme ich Modelsim nicht zum Laufen.

nur zum Verständnis: Modelsim läuft aber auch mit der aktuellen Version 
des ISE?

wie sieht das genau mit den Libs für Modelsim aus?

von Olli R. (downunderthunder42)


Lesenswert?

Ok hab jetzt ne license.dat und werde dann Modelsim morgen mal testen.

von Christian R. (supachris)


Lesenswert?

Neue Lizenzen gibts keine mehr, das ist richtig. Ich hatte das so 
verstanden, dass du noch eine Lizenz hast. ModelSim hat ja mit ISE erst 
mal nix zu tun, beides läuft unabhängig voneinander. Lediglich für 
ModelSim XE gabs immer vorkimpilierte Libs für die Xilinx IP-Cores, um 
nicht so schnell in die Begrenzung zu laufen. Ab 10.000 Statements wirds 
dann langsamer. Und die vorkompilierten zählen da nicht. Die letzten 
Libs gabs für 12.3 bei Xilinx. Alles was nachher kommt, muss man selber 
kompilieren. Ist aber kein Problem, die Quellcodes sind alle bei ISE 
dabei, und die Kompiler-Scripte bei Modelsim. Die muss man nur anpassen.
Allerdings kann man auch ModelSim PE Student kostenlos bekommen, dann 
muss man ebenso die Libs kompilieren, aber wenigstens gibts immer 
aktuelle ModelSim Versionen dafür.
Ansonsten ist ISim durchaus brauchbar, kann aber bei weitem nicht so 
viel wie ModelSim.

von Ingenieur (Gast)


Lesenswert?

Was macht man denn, wenn man kein Student mehr ist, und Modelsim privat 
braucht?

Ich arbeite fast nur in der Software!

von Jim G. (jim34)


Lesenswert?

Ingenieur schrieb:
> Was macht man denn, wenn man kein Student mehr ist, und Modelsim privat
> braucht?

Ich verwende die Modelsim Altera Edition, die kostet nichts und 
funktioniert auch mit Xilinx ISE.

von berndl (Gast)


Lesenswert?

Jim G. schrieb:
>> Was macht man denn, wenn man kein Student mehr ist, und Modelsim privat
>> braucht?
>
> Ich verwende die Modelsim Altera Edition, die kostet nichts und
> funktioniert auch mit Xilinx ISE.

oder man verwendet halt GHDL. Ist frei und funktioniert zumindest unter 
Linux wunderbar...

Die Libraries fuer z.B. Xilinx, Altera, Lattice, ... muss man halt 
selber compilieren und sich vorher im Netz schlau machen, was dafuer 
alles zu tun ist...

von Christian R. (supachris)


Lesenswert?

Ingenieur schrieb:
> Was macht man denn, wenn man kein Student mehr ist, und Modelsim privat
> braucht?

Ich bezweifle, dass die bei der angegebenen Hochschule dann anrufen und 
deine Matrikelnummer erfragen ;)

von Olli R. (downunderthunder42)


Lesenswert?

Ok die license.dat, die ich hatte funzt doch nicht.
Ich nutze deshalb die Version "ModelSim PE Student".

Ich will ja erstmal nur meine Entwürfe kompilieren und dafür reich ja 
Modelsim. Für die Synthese der VHDL-Entwürfe bräuchte ich dann erst das 
ISE!
Sehe ich das richtig?

von Ingenieur (Gast)


Lesenswert?

Ich überlege, mich an einer österreichischen Uni einzuschreiben und dann 
die Gebüren über die ganzen Studivorteil wieder reinzuholen, inklusive 
billigen Entwicklungsboards.

von Christian R. (supachris)


Lesenswert?

Olli R. schrieb:
> Ok die license.dat, die ich hatte funzt doch nicht.

Klar, die sind ja auch node-locked auf genau einen Rechner, oder eben 
über FlexLM Lizenzserver.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.