Hallo Leute!
Ich hab ein einfaches VHDL Progrämmchen geschrieben, ein Inverter, und
dazu eine Testbench. So, Modelsim will aber nicht so richtig .... Also
hier mal mein Code:
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 | use ieee.numeric_std.all;
|
4 | use work.all;
|
5 |
|
6 | entity inverter is
|
7 | port (
|
8 | input: in std_ulogic;
|
9 | output: out std_ulogic;
|
10 | clock_i: in std_ulogic;
|
11 | reset_i: in std_ulogic);
|
12 | end inverter;
|
13 |
|
14 | architecture behav of inverter is
|
15 | begin
|
16 |
|
17 | cr: process(reset_i,clock_i) is
|
18 | if reset_i='1' then
|
19 | output<= '0';
|
20 | elsif rising_edge(clock_i) then
|
21 | output<= next_output;
|
22 | end if;
|
23 | end process cr;
|
24 |
|
25 | op: process(input)is
|
26 | next_output<= not input;
|
27 | end process op;
|
28 |
|
29 | end architecture;
|
Nun, alles schön und gut.... kompiliere ich aber nun die TB findet er
einen merkwürdigen Fehler:
1 | Unknown identifier "clock_i".
|
1 | Unknown identifier "reset_i".
|
Dieser Fehler tritt lt. Modelsim durch das Mapping auf
1 | Mapping: entity inverter(behav)
|
2 | port map (input => input_tb,
|
3 | output => output_tb,
|
4 | clock_i => clock_tb,
|
5 | reset_i => reset_tb);
|
Nur ich kann ihn nicht sehen.....
Vielen Dank für jeden Tipp