Forum: FPGA, VHDL & Co. EDK pcores verbinden


von olpo (Gast)


Lesenswert?

Hallo,

ich habe zwei PCores in EDK, die ich miteinander verbinden möchte.

In VHDL würde ich wohl eine Top-Level Datei brauchen, und die beiden 
über Signale verbinden. Bsp Full-Adder.

WIe mache ich das in EDK?
Ich denke, in EDK kann ich die losen PCores auch über ihre Ports 
verbinden, oder?
Also, Bsp:
1
entity A is
2
a_1 : in std_logic
1
entity B is
2
b_1 : out std_logic

Und jetzt die Ports in den jeweiligen .mpd definieren?
1
# A.mpd
2
PORT a_1 = a_1, Dir=i
1
# A.mpd
2
PORT b_1 = b_1, Dir=i
3
PORT b_frei = ""
4
PORT b_test = gibtsnicht

Warum schlägt EDK nicht bei den Ports b_frei und b_test Alarm? Bzw. Was 
mache ich denn eigentlich da?
Ist es nicht so, daß ich b_1 mit b_1 in VHDL verbinde.
Aber b_test gibt es ja gar nicht. Warum wird nicht gemeckert?

von olpo (Gast)


Lesenswert?

Hmm, wirrer Text daoben.

Was ich eigentlich fragen wollte: Wie weiß EDK, welche Ports in der .mpd 
mit welchen in der .vhd zu verbinden sind?

von Duke Scarring (Gast)


Lesenswert?

olpo schrieb:
> ich habe zwei PCores in EDK, die ich miteinander verbinden möchte.
Dann lege Dir dafür einen Extra-Bus an und male eine Verbindung im EDK.

Duke

von olpo (Gast)


Lesenswert?

ja, nee.
Ich möchte ja nur eine kleine Signalleitung legen.
Muss ich dafür extra eine HDL-Wrapper schreiben, oder kann ich das auch 
über die EDK Port-Geschichte machen?
Aber das mit den Ports ist mir noch nicht ganz klar. Vielleicht kann mir 
jmd erklären, wie das funktioniert.

von olpo (Gast)


Lesenswert?

Letzter Versuch:

Ich habe zwei VHDL-Dateien.
1
entity A is
2
port(
3
p_a : in std_logic
4
);
1
entity B is
2
port(
3
p_b : out std_logic
4
);

Normalerweise würde ich jetzt eine Toplevel-VHDL anlegen um die beiden 
Dateien zu verbinden.
1
entity toplevel is
2
port();
3
end entity;
4
architecture Bsp of toplevel is
5
 signal s_i : std_logic;
6
begin
7
A_1 : entity A
8
 port map(
9
    p_a => s_i
10
 );
11
12
B_I : entity B
13
  port map(
14
    p_b => s_i
15
    );
16
end architecture;

Doch kann ich mir in EDK nicht das Toplevel sparen und die beiden vhdl's 
über die Ports und die .mpd verbinden.
Wenn ja, kann mir jmd ein Bsp schreiben, wie die .mpd dann aussehen 
müsste.
Danke.

von Duke Scarring (Gast)


Lesenswert?

olpo schrieb:
> Normalerweise würde ich jetzt eine Toplevel-VHDL anlegen um die beiden
> Dateien zu verbinden.
Dann bau Dir das toplevel doch außerhalb vom EDK. Das ist sowieso eine 
gute Idee.

Ansonsten schau mal unter [1], ob Dir das evtl. weiterhilft.

Duke

[1] http://lips.informatik.uni-leipzig.de/files/2008-11.pdf

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.