Forum: FPGA, VHDL & Co. Port einer Enitity


von Jan S. (Gast)


Lesenswert?

Hallo,

wie sollte man die Ports einer Entity am besten deklarieren:
1
entity VHDLEntity is
2
 
3
  port(
4
    -- Eingang
5
    X: in signed(15 downto 0);
6
7
    -- Ausgang
8
    Y: out signed(15 downto 0);

Die Entity sol in mehreren Projekten verwendet werden und mit dem 
Eingang werden Berechnungen durchgeführt.

Oder besser so:
1
entity VHDLEntity is
2
 
3
  port(
4
    -- Eingang
5
    X: in std_logic_vector(15 downto 0);
6
7
    -- Ausgang
8
    Y: out std_logic_vector(15 downto 0);

Und intern die Ports an signed-Signale koppeln und damit rechnen?

von Duke Scarring (Gast)


Lesenswert?

Jan S. schrieb:
> Die Entity sol in mehreren Projekten verwendet werden und mit dem
> Eingang werden Berechnungen durchgeführt.
Dann würde ich signed bevorzugen. So weiß jeder, der die Komponente 
einsetzten will, gleich woran er ist. Und Fehler der Art: außerhalb der 
Komponente unsigned zu std_logic_vector zu casten und innerhalb 
std_logic_vector zu signed zu casten und sich dann zu wundern, warum 
die Berechnungen fehlschlagen, entfallen damit.

Vorraussetzung ist, das die Bibliotheken (ieee.numeric_std und 
ieee.std_logic_arith) innerhalb des Projektes nicht gemischt werden.

Duke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.