Servus, ich konnte leider nichts finden. Wenn modelsim mit vsim -c gestartet wurde, wie kann man dann nachträglich die Gui anschmeißen? Grüße Hochpass
Ob das nachträglich geht, weiß ich nicht. Ich starte nur entweder (vsim -c) oder (vsim -gui). Was noch gehen könnte: Nachträglich den wave-dump anschauen. Das hab ich aber noch nicht gemacht. Frag doch mal bei Modelsim an. Duke
>Wenn modelsim mit vsim -c gestartet >wurde, wie kann man dann nachträglich die Gui anschmeißen? Wozu das Ganze? Arbeitest du skript-gesteuert? Windows? Wenn Simulation beendet, muss das .wlf mit dem dazugehörigen wave.do laden. Dann kannst du aber nichts mehr machen mit dem Wave, weil nur die Daten aus der wlf-Datei vorgehalten werden für das nachträgliche Öffnen.
Wozu das Ganze? Weil ich script basiert simulieren möchte. Aber nicht nur sonder auch script basiert das ganze Modesim Project zusammen bauen möchte. Dazu gehört das compilieren der vendor libs, zusammenbau des projects und dann starten der Simulation. Zusammenbauen und compilieren ist auf Kommandoebene schöner, zum bedienen der Simulation die gui. Ich kann aber nicht auf der command Ebene compilieren die dann verlassen und dann die gui neu starten, da ich das script zweimal aufrufen müsste in unterschiedlichen modes.
waere
1 | vsim -do dofile.do |
bzw.
1 | vsim -c -do dofile.do |
evtl. eine Moeglichkeit?
du kannst doch den compiler auch direkt starten für library erstellen: vlib <library> für verilog: vlog -work <library> file.v für vhdl: vcom -work <library> file.vhdl geht z.B. wunderbar aus einem Makefile
Ich verwende dafür auch Make. Du kannst dir z.B. ein Target fürs Kompilieren, eins für Simulation mit GUI und eins für Simulation ohne GUI einrichten. Wenn du dann in der GUI simulierst und den Code bearbeitest, kann du mit einem 'make compile' auch direkt aus der GUI alles neu kompilieren und weiter simulieren.
berndl schrieb: > vsim -c -do dofile.do evtl. eine Moeglichkeit? hab ich noch nicht verstandn wie es gehen könnte user schrieb: > geht z.B. wunderbar aus einem Makefile Manuel U. schrieb: > Ich verwende dafür auch Make. Das ist selbstverständlich eine möglichkeit. Nur nicht von Haus aus dabei wenn man nur die ISE und Modeslim installiert. Es geht mir nicht nur um die Entwicklung sondern auch die reproduzierbarkeit auf anderen Rechnern durch andere Benutzer. Aber wenn man Make nachinstallieren muss weil es die zwei anderen Tools nicht können ist das auch kein Beinbruch.
Wenn man zusätzlich noch vmk [1] verwendet, braucht man sich auch nicht um die Abhängigkeiten zu sorgen. Duke [1] http://sourceforge.net/projects/vmk/
Hochpass schrieb: > Nur nicht von Haus aus > dabei wenn man nur die ISE und Modeslim installiert. Es geht mir nicht > nur um die Entwicklung sondern auch die reproduzierbarkeit auf anderen > Rechnern durch andere Benutzer. Naja, du kannst doch prinzipiell das gleiche auch mit reinen Do-Files erreichen. Du musst den Workflow dann eben etwas modularisieren. Ein Do-File kann doch auch andere Do-Files aufrufen. So kann man sich alle Schritte nach Bedarf zusammenbauen.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.