hi,
ich arbeite heute das erste mal mit VHDL, darum verzeihe man mir bitte
wissenstechnische Unzulänglichkeiten.
Also mein Problem:
Ich habe zwei Komponenten aus denen ich meine Schaltung basteln will.
Allerdings verstehe ich nicht, wie ich sie verknüpfen soll. Mein
bisheriger Versuch spuckt nur Murks aus (UU - Signal).
1 | architecture XY of YX is
|
2 | component Komponente_1
|
3 | generic( N : positive := 8);
|
4 | port (
|
5 | B : out std_logic_vector( N-1 downto 0));
|
6 | end component;
|
7 |
|
8 | componente Komponete_2
|
9 | generic( N : positive := 8);
|
10 | port (
|
11 | A : in std_logic_vector( N-1 downto 0));
|
12 | end component;
|
13 |
|
14 | signal kmpl_b : std_logic_vector(N-1 downto 0);
|
15 |
|
16 | begin
|
17 | K1: Komponente_1 port map (B => kmpl_b);
|
18 | K2: Komponente_2 port map (A => Kmpl_b);
|
19 |
|
20 | end XY
|
stimmt das etwa nicht?
lg