Forum: FPGA, VHDL & Co. VHDL Schulung


von flash (Gast)


Lesenswert?

Hi liebe User,

kann mir jemand sagen, ob es auch in NRW (Düsseldorf, Köln und Umgebung) 
empfehlenswerte VHDL Schulungen gibt? Für Anfänger sowie auch für 
Fortgeschrittene?

Meine Internetrecherchen ergaben nur Treffer im südlichen und nördlichen 
Raum.


lg,

flash

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

flash schrieb:
> Düsseldorf, Köln und Umgebung
Das ist doch schon tiefster Norden...  ;-)

Wie auch immer: schau auf jeden Fall drauf, dass es keine VHDL-Schulung 
ist, sondern eine synthesetaugliche VHDL-für-FPGA-Schulung, wenn du das 
erworbene Wissen für FPGAs umsetzen willst/musst/sollst...

Denn VHDL ist die eine Welt, FPGA ist die andere. Und sie berühren sich 
nur ganz am Rand...

von Bronco (Gast)


Lesenswert?

Ich war schon zweimal bei PLC2.
http://www.plc2.de/

Das war recht gut, aber kostet Geld.

von flash (Gast)


Lesenswert?

Lothar Miller schrieb:
> Das ist doch schon tiefster Norden...  ;-)

Da hast Du recht, je nachdem, von wo man das Ganze betrachtet ;-)

Alles klar, dann werde ich dies bei der Suche beachten. Danke.


Gruß aus dem tiefen Norden ;-)

von Klaus (Gast)


Lesenswert?

Lothar Miller schrieb:
>> Düsseldorf, Köln und Umgebung
> Das ist doch schon tiefster Norden...  ;-)

Quatsch, das ist höchst südlich! ;-)

von flash (Gast)


Lesenswert?

Bronco schrieb:
> Ich war schon zweimal bei PLC2.

Davon habe ich auch schon öfter gelesen. Aber die sitzen leider in 
Freiburg :-(

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

flash schrieb:
> Aber die sitzen leider in Freiburg :-(
Eugen Krassin, der alte Xilinx-Haudegen, ist immer eine Reise wert... 
;-)

von Bronco (Gast)


Lesenswert?

flash schrieb:
> Davon habe ich auch schon öfter gelesen. Aber die sitzen leider in
> Freiburg :-(
Auch anderswo. Das naheste zu Dir wäre wahrscheinlich Frankfurt.

Lothar Miller schrieb:
> Eugen Krassin, der alte Xilinx-Haudegen, ist immer eine Reise wert...
Ich fürchte, wenn er sich mal die FPGA-Projekte meiner Firma anschauen 
müßte, würde ihn direkt der Schlag treffen...

von Robert K. (Firma: Medizintechnik) (robident)


Lesenswert?

Lothar Miller schrieb:
> Denn VHDL ist die eine Welt, FPGA ist die andere.
??

>Und sie berühren sich nur ganz am Rand...
???

flash schrieb:
>> Ich war schon zweimal bei PLC2.

Also mich haben die nicht überzeugt.

von Duke Scarring (Gast)


Lesenswert?

R. K. schrieb:
> Also mich haben die nicht überzeugt.
Mich auch nicht.

Duke

von Bronco (Gast)


Lesenswert?

Duke Scarring schrieb:
>> Also mich haben die nicht überzeugt.
> Mich auch nicht.

Kommt wahrscheinlich auf den persönlichen Erfahrungsstand an.
Ich war als blutiger VHDL/FPGA-Anfänger dort und da hat es schon sehr 
geholfen, gerade so was die typischen "No Goes" angeht.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Bronco schrieb:
> Kommt wahrscheinlich auf den persönlichen Erfahrungsstand an.
Und den Dozenten... wie im echten Leben eben...

R. K. schrieb:
> Lothar Miller schrieb:
>> Denn VHDL ist die eine Welt, FPGA ist die andere.
> ??
Das ist eindeutig und klar simpelstes VHDL:
1
blinky <= not blinky after 500 ms; -- ein einfaches Blinklicht
>>Und sie berühren sich nur ganz am Rand...
> ???
Probier dieses kompakte Blinklicht mal auf ein FPGA zu bekommen....

Oder das hier:
1
wait for 1 ms;

von Robert K. (Firma: Medizintechnik) (robident)


Lesenswert?

Nur, weil nicht alles, was in VHDL bescheibbar ist, nicht direkt ins 
FPGA synthetisiert werden kann, würde ich aber nicht behaupten, dass 
VHDL die FGPAs nur "ganz am Rande" berührt.
1
*************************
2
*                       *
3
*  VHDL   **********************
4
*         *             *      *
5
*         *  FPGA       *      *
6
*         *  SYNTHESE   *      *
7
*         *             *      *
8
*************************      *
9
          *                    *
10
          *                    *
11
          **********************

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ich sehe das eher so:
1
*************************
2
*                       *
3
*                       *
4
*  VHDL             ***********
5
*                   *   *     *
6
*                   *   *     *
7
*                   *   *     *
8
*                   *   *     *
9
*************************     *
10
                    *         *
11
                    *  FPGA   *
12
                    ***********
FPGA hat viel mit VHDL zu tun (genauso auch mit Verilog).
Aber VHDL hat nur wenig mit Implementation auf FPGAs zu tun.
Viel mehr hat es mit Simulation und Systembeschreibung zu tun.

von Sigi (Gast)


Lesenswert?

"VHDL,FPGA: Almost Disjunct":
Naja, wenn man nur die Synthese betrachtet. Es braucht
aber auch viel an Simulation, da kann die Umfänglichkeit
von VHDL nicht gross genug sein.

von Bronce (Gast)


Lesenswert?

Lothar Miller schrieb:
> Aber VHDL hat nur wenig mit Implementation auf FPGAs zu tun.

Wir haben auf einer PLC2-Schulung ein Mandelbrot-Bild per 
VHDL-Simulation berechnet, mit Fließkomma-Arithmetik, Division, 
Datei-Ausgabe usw.
Ich war überrascht, wie vielseitig diese Sprache ist, wenn die 
FPGA-Einschränkungen nicht gelten.

von Drüber-Steher (Gast)


Lesenswert?

>Wir haben auf einer PLC2-Schulung ein Mandelbrot-Bild per
>VHDL-Simulation berechnet, mit Fließkomma-Arithmetik, Division,
>Datei-Ausgabe usw.
>Ich war überrascht, wie vielseitig diese Sprache ist, wenn die
>FPGA-Einschränkungen nicht gelten.

Genau das ist der Nachteil an VHDL, als Anfänger sieht man die Seiten 
die einem bekannt vorkommen (Programmierung) aber nicht die die man für 
den FPGA-braucht.

Deshalb empfiehlt sich verilog für Synthese, für Modellierung System-C 
und für verifikation e.

MfG,

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Bronce schrieb:
> Ich war überrascht, wie vielseitig diese Sprache ist, wenn die
> FPGA-Einschränkungen nicht gelten.
Ja, deshalb ist Simulation auch so schön...  ;-)

Drüber-Steher schrieb:
> Genau das ist der Nachteil an VHDL, als Anfänger sieht man die Seiten
> die einem bekannt vorkommen (Programmierung) aber nicht die die man für
> den FPGA-braucht.
Man kann aber nicht den Nachteil von VHDL automatisch als Vorteil von 
Verilog darstellen. Denn auch in Verilog schreibe ich garantiert ganz 
unbedarft etwas hin, was sich nicht synthetisieren lässt.
Denn gerade Verilog war zuallererst eine Verifikationssprache. Das 
schreibt Wikipedia ganz hübsch:
1
Verilog HDL wurde 1983/84 von Phil Moorby bei Gateway Design Automation 
2
ursprünglich als Simulationssprache entworfen. 
3
Der zweite wichtige Einsatzbereich ist die Synthese digitaler Schaltungen

VHDL war dagegen von Anfang als Hardwarebeschreibungssprache 
ausgelegt...

von Robert K. (Firma: Medizintechnik) (robident)


Lesenswert?

Lothar Miller schrieb:
> VHDL war dagegen von Anfang als Hardwarebeschreibungssprache
>
> ausgelegt...

na wieso haben die denn dann Deiner Darstellung nach so "wenig 
Berührungspunkte"?

:D

von Drüber-Steher (Gast)


Lesenswert?

>Man kann aber nicht den Nachteil von VHDL automatisch als Vorteil von
>Verilog darstellen. Denn auch in Verilog schreibe ich garantiert ganz
>unbedarft etwas hin, was sich nicht synthetisieren lässt.
>Denn gerade Verilog war zuallererst eine Verifikationssprache.

Doch kann man.

Verilog wurde von einer EDA-Firma zur Verifikation von Digitallogic 
(VERI-fing LOG-ic) entworfen. VHDL dagegen vom US-DoD in Auftrag gegeben 
um die IC-Entwürfe einheitlich zu spezifizieren und zu dokumentieren. 
VHDL lehnt sich an ADA an, Verilog dagegen an netzlisten. Verilog ist 
näher am gatter als VHDL und kann sich nicht so weit davon entferenen 
wie die Hoch-sprache VHDL. Das ist eindeutig ein Vorteil für Einsteiger 
- man kann weniger nicht-synthetisierbaren Müll schreiben.

MfG

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

R. K. schrieb:
> na wieso haben die denn dann Deiner Darstellung nach so "wenig
> Berührungspunkte"?
Weil Hardware nun mal ganz was anderes ist als FPGA. Tausende Menschen 
machen Hardware, ohne jemals ein FPGA in der Hand gehabt zu haben....

Drüber-Steher schrieb:
> Verilog ist näher am gatter als VHDL und kann sich nicht so weit
> davon entferenen wie die Hoch-sprache VHDL.
Glaub es mir: ich bin mit meinen Gedanken unmittelbar am Gatter. Und 
schreibe VHDL so, dass der Synthesizer es versteht...
> Das ist eindeutig ein Vorteil für Einsteiger
Und das ist gut so.

> Das ist eindeutig ein Vorteil für Einsteiger
Von exklusivem Vorteil sind auch die vielen impliziten Annahmen und 
Typkonvertierungen, die im Hintergrund gemacht gemacht werden, und auch 
die Einteilung einer Simulation in Tics...

Sagen wirs mal so: der eine ist mit Verilog glücklich und kommt ans 
Ziel, der andere ist froh, seinerzeit VHDL gelernt zu haben.

von Bronco (Gast)


Lesenswert?

Lothar Miller schrieb:
> Sagen wirs mal so: der eine ist mit Verilog glücklich und kommt ans
> Ziel, der andere ist froh, seinerzeit VHDL gelernt zu haben.

Und ich wünsche mir oft, ich wäre bei C geblieben und hätte mich nie an 
VHDL gewagt. Dieses Delta-Delay macht mich immer noch ganz irre...

von Thomas (Gast)


Lesenswert?

> Ich sehe das eher so:

Müsste es nicht eher so sein?
1
*************************
2
*                       *
3
*                       *
4
*  VHDL        **********
5
*              *        *
6
*              *  FPGA  *
7
*              *        *
8
*              *        *
9
*              *        *
10
*************************

von Robert K. (Firma: Medizintechnik) (robident)


Lesenswert?

Lothar Miller schrieb:
> R. K. schrieb:
>
>> na wieso haben die denn dann Deiner Darstellung nach so "wenig
>
>> Berührungspunkte"?
>
> Weil Hardware nun mal ganz was anderes ist als FPGA. Tausende Menschen
>
> machen Hardware, ohne jemals ein FPGA in der Hand gehabt zu haben....

Lothar, du schriebst oben nicht "Hardware" sondern "FPGA" - aber lassen 
wir es.

von Robert K. (Firma: Medizintechnik) (robident)


Lesenswert?

Thomas schrieb:
> Müsste es nicht eher so sein?
>*************************
>*                       *
>*                       *
>*  VHDL        **********
>*              *        *
>*              *  FPGA  *
>*              *        *
>*              *        *
>*************************

nein, weil FPGa auch mit UCFs und anderen Software-Typen beschrieben 
wird und noch mehr benötigen, als Software, z.B. Strom, Abschirmung, 
Spannungsregelung -

man müsste das FPGA als Hardware in eine andere Dimension zeichnen und 
in der 2 D-ebene die Schnittpunkte mit der Software (VHDL, SOPC, C, XML) 
eingtragen.

aber nicht mehr heute am Freitag :-)

von flash (Gast)


Lesenswert?

Und der, der aus dem Norden, Süden, Westen und Osten kommt, wollte nur 
wissen, wo empfehlenswerte synthesetaugliche VHDL-für-FPGA-Schulungen 
angeboten werden ;-)

Sorry, dass es sich so ausgeweitet hat :-)

Schönes Wochenende Euch Allen,

Gruß flash

von Codo der Dritte (Gast)


Lesenswert?

Duke Scarring schrieb:
> R. K. schrieb:
>
>> Also mich haben die nicht überzeugt.
>
> Mich auch nicht.

> Duke

Na das sind wir schon drei. Von Experten, die von sich behaupten, die 
Top-Wissenden im FPGA-Umfeld zu sein, hätte ich mir mehr erwartet. Der 
angebliche Fortgeschrittenen-Kurs entpuppte sich als eine 
Präsentationvon Xilinx-Doc und Apps und dazu enthielten sie dieselben 
Fehler und Meinungen.

FPGA-Entwicklung scheint vielfach Philosophie zu sein und selten ist mir 
jemand begegnet, der in der Lage war, breit gefächert diese zu 
entmystifizieren, also unterschiedliche Herangehensweisen bei 
unterschiedlichen Problemstellungen zu bringen.

Die meisten bringen nur ihre Herangehensweisen und vermarkten sie wie 
Moses'sche Steintafeln! Dabei sind 50% individuelles Denken und der Rest 
ablesbare Fakten.

Was ich wie bei vielen auch bei PLC2 vermisst habe: Einen sinnvollen 
Einstufungstest, der Vorgaben enthält, was jemand können muss. Die 
schren alle nach eigener Selbsteinschätzung über einen KAmm und bringen 
dann so flache Fakten, dass jeder mitkommt.

Ebenso wird wieder viel zu sehr auf Vendor-spezifisches abgehoben und 
VHDL-Konstrukte gemimt, statt einfach mal Schaltungskonzeption und 
Dokuemtation zu lehren, um das Hacken, das man allerorts beobachten 
kann, einzudämmen und dafür zu sorgen, dass nur dort wo nötig:

- einsynchronsiert
- gepipelined
. sequenzialisiert
- gepackacked
- generics vewendet
- gekapselt
- SysGEn benutzt
- SOPC benutzt
- Microblaze benutzt

... wird und nicht pauschal überall, all es der PLC2 - Strategie 
entspricht.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Gut, dann wissen wir jetzt mal schon, was schlecht ist oder als schlecht 
empfunden wird.
Nur stellt sich die Frage: was bzw. wer ist dann gut? Oder wenigstens 
signifikant besser?

von Bronco (Gast)


Lesenswert?

Lothar Miller schrieb:
> Gut, dann wissen wir jetzt mal schon, was schlecht ist oder als schlecht
> empfunden wird.
> Nur stellt sich die Frage: was bzw. wer ist dann gut? Oder wenigstens
> signifikant besser?

Oder es stellt sich die Frage:
Was ist besser: eine PLC2-Schulung oder gar nichts?
In meinem Fall eindeutig erstes.
(Das Preis-Leistungs-Verhältnis sei eine andere Frage...)

von Marcus H. (mharnisch) Benutzerseite


Lesenswert?

flash schrieb:
> Meine Internetrecherchen ergaben nur Treffer im südlichen und nördlichen
> Raum.

Klar. München hat die größte Dichte an potentiellen Kunden und 
erschließt auch Einzugsgebiete südlich von Deutschland. Im nördlichen 
Raum bist Du wahrscheinlich auf uns gestoßen. Der Standort Hannover hat 
historische Gründe. Schau mal hier:
http://www.doulos.com/content/training/vhdl_fpga_design_training.php

Da wir zur Zeit keinen deutschsprachigen VHDL Trainer haben, bekommst Du 
sogar einen Englischkurs gratis mit dazu :-)

Wenn Du sowieso reisen musst, dann kann ich empfehlen, einen Kurs bei 
uns in England zu buchen. Ringwood ist sehr schön und man kann mal einen 
Ausflug nach Bournemouth machen.

Viele Grüße
Marcus

von Georg A. (georga)


Lesenswert?

Die beste Schulung ist das erste grössere Projekt ;)

Und wenn es dann in Richtung Weltanschauung geht (zB. 1 vs 2 vs 3 
process bei FSMs), dann wird es ohnehin kompliziert... Gerade als 
Anfänger ist einem selten klar, dass es noch andere Möglichkeiten gibt. 
Wer zB. einen Vortragenden der Gaisler-Religion bekommt, wird später 
ganz erstaunt sein, dass viele FSMs auch in einen Prozess passen...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Georg A. schrieb:
> Wer zB. einen Vortragenden der Gaisler-Religion bekommt, wird später
> ganz erstaunt sein, dass viele FSMs auch in einen Prozess passen...
Und er wird feststellen, dass dann sein Megaprojekt auf einmal auf eine 
Bildschirmseite passt.
Und er wird noch erstaunter feststellen, dass diese vielen Variablen 
nicht zwingend nötig sind, und die Welt nicht besser oder gar einfacher 
machen...    :-D

von Der L. (vhdl-neuling)


Lesenswert?

Lothar, bei einer Schulung von dir wäre ich dabei :D

Ich suche auch noch nach einer anständigen Schulung. Wobei das Arbeiten 
mit dem Thema die Grundlagen-Schulungen alle bereits erschlägt.

von Fritz J. (fritzjaeger)


Lesenswert?

flash schrieb:
> Hi liebe User,
>
> kann mir jemand sagen, ob es auch in NRW (Düsseldorf, Köln und Umgebung)
> empfehlenswerte VHDL Schulungen gibt? Für Anfänger sowie auch für
> Fortgeschrittene?
>
> Meine Internetrecherchen ergaben nur Treffer im südlichen und nördlichen
> Raum.

Youtube ist überall, bspw.:
http://www.youtube.com/watch?v=Bg_4nqSs2OY

BTW

von T.M. (Gast)


Lesenswert?

Ich war letzte Woche zur "Fast track Verilog for VHDL users" Schulung 
bei Doulos in München. Wenn die anderen Kurse von ähnlicher Qualität 
sind, kann ich Doulos nur weiterempfehlen.

Vorteil war zB., dass jeder Teilnehmer mit den von ihm gewünschten Tools 
arbeiten konnte (Synplify, Quartus, ISE, Modelsim, Aldec usw.). Selbiges 
galt für das OS, da ich Linux bevorzuge natürlich ideal. Der Kurs hat 
mir persönlich sehr gut gefallen.

BTW: nach der Schulung steht für mich fest: Ich bleibe bei VHDL, kann 
nun halt aber auch Verilog verstehen und auch im Fall der Fälle 
schreiben ;)

von Marcus H. (mharnisch) Benutzerseite


Lesenswert?

T.M. schrieb:
> Ich war letzte Woche zur "Fast track Verilog for VHDL users" Schulung
> bei Doulos in München.

Den hat Alan Fitch gegeben, nicht wahr. Bestimmt sehr unterhaltsam.

--
Marcus

von T.M. (Gast)


Lesenswert?

Jepp. Unterhaltsam & informativ. Hatte schon schlechtere Schulungen ;)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.