Hallo,
habe mal wieder eine kleine Frage, diesmal geht es um die Syntax in
VHDL.
Ich habe mehrere Vektoren in meinem Design und frage manche in einer
IF-ELSE Verzweigung ab.
Als Beispiel:
1 | ...
|
2 | signal Vector: std_logic_vector (9 downto 0);
|
3 | ...
|
4 | process(clk)
|
5 | begin
|
6 | if rising_edge(clk) then
|
7 | ...
|
8 | if Vector = "0000000000" then -- <----- Vektorabfrage
|
9 | ...
|
10 | end if;
|
11 | ...
|
12 | end if;
|
13 | ...
|
14 | end process;
|
15 | ...
|
Es geht um die Vektor Abfrage. Wenn man einen Vektor mit 10 Bit hat ist
die IF Abfrage einfach. Aber wenn man nun beispielsweise einen Vektor
100 Bits hat, wie sollte man dies am besten Abfragen?
Alle Bits in der Abfrage ist mühselig (if "0000...0000").
Habe versucht dieses zu machen:
if Vektor = (others => '0') oder if Vektor = (others = '0')
doch hat leider nicht funkioniert.
Hat jemand hier die Lösung?
Danke im voraus.
Gruß Cihan