Forum: Analoge Elektronik und Schaltungstechnik DDS hat übles Spektrum


von Peter Z. (Gast)


Angehängte Dateien:

Lesenswert?

Hallo allerseits,

habe da einen DDS mit dem AD9854.
Leider hat das Ausgangs-Spektrum recht unschöne Seitenbänder, siehe 
Bild.
Was läuft da schief?
Der µC initialisiert die Register des AD9854 und legt sich schlafen.
1
//**********************************************************************
2
// in den globalen Variablen Byte[5~0] steht der berechnete 48-Bit-Wert
3
// für die zu erzeugende Frequenz
4
//**********************************************************************
5
void Init_DDS(void)
6
{
7
Set_DDS_Reg(0x00,0); // Phase Adjust Register 1 >13: 8>
8
Set_DDS_Reg(0x01,0); // Phase Adjust Register 1 < 7: 0>
9
10
Set_DDS_Reg(0x02,0); // Phase Adjust Register 2 >13: 8>
11
Set_DDS_Reg(0x03,0); // Phase Adjust Register 2 < 7: 0>
12
13
Set_DDS_Reg(0x04,Byte[5]); // Frequency Tuning Word 1 <47:40>
14
Set_DDS_Reg(0x05,Byte[4]); // Frequency Tuning Word 1 <39:32>
15
Set_DDS_Reg(0x06,Byte[3]); // Frequency Tuning Word 1 <31:24>
16
Set_DDS_Reg(0x07,Byte[2]); // Frequency Tuning Word 1 <23:16>
17
Set_DDS_Reg(0x08,Byte[1]); // Frequency Tuning Word 1 <15:8>
18
Set_DDS_Reg(0x09,Byte[0]); // Frequency Tuning Word 1 <7:0>
19
20
Set_DDS_Reg(0x0A,Byte[5]); // Frequency Tuning Word 2 <47:40>
21
Set_DDS_Reg(0x0B,Byte[4]); // Frequency Tuning Word 2 <39:32>
22
Set_DDS_Reg(0x0C,Byte[3]); // Frequency Tuning Word 2 <31:24>
23
Set_DDS_Reg(0x0D,Byte[2]); // Frequency Tuning Word 2 <23:16>
24
Set_DDS_Reg(0x0E,Byte[1]); // Frequency Tuning Word 2 <15: 8>
25
Set_DDS_Reg(0x0F,Byte[0]); // Frequency Tuning Word 2 < 7: 0>
26
27
Set_DDS_Reg(0x10,0x00); // Delta frequency word <47:40>
28
Set_DDS_Reg(0x11,0x00); // Delta frequency word <39:32>
29
Set_DDS_Reg(0x12,0x00); // Delta frequency word <31:24>
30
Set_DDS_Reg(0x13,0x00); // Delta frequency word <23:16>
31
Set_DDS_Reg(0x14,0x00); // Delta frequency word <15: 8>
32
Set_DDS_Reg(0x15,0x00); // Delta frequency word < 7: 0>
33
34
Set_DDS_Reg(0x16,0x00); // Update clock <31:24> // darf nicht alles null
35
Set_DDS_Reg(0x17,0x00); // Update clock <23:16> // sein, sonst muss man
36
Set_DDS_Reg(0x18,0x00); // Update clock <15: 8> // lange warten... ;-)
37
Set_DDS_Reg(0x19,0x01); // Update clock < 7: 0>
38
39
Set_DDS_Reg(0x1A,0x00); // Ramp rate clock <19:16>
40
Set_DDS_Reg(0x1B,0x00); // Ramp rate clock <15: 8>
41
Set_DDS_Reg(0x1C,0x00); // Ramp rate clock < 7: 0>
42
43
Set_DDS_Reg(0x1D,0x10); // Control Register <31:24>
44
Set_DDS_Reg(0x1E,0x54); // Control Register <23:16> Ref Mult = 20 PLL Range Bit gesetzt (200-300MHz)
45
Set_DDS_Reg(0x1F,0x00); // Control Register <15: 8>
46
Set_DDS_Reg(0x20,0x40); // Control Register < 7: 0>
47
48
Set_DDS_Reg(0x21,0x0F); // Output shaped keying I multiplier <11: 8>
49
Set_DDS_Reg(0x22,0xFF); // Output shaped keying I multiplier < 7: 0>
50
51
Set_DDS_Reg(0x23,0x0F); // Output shaped keying Q multiplier <11: 8>
52
Set_DDS_Reg(0x24,0xFF); // Output shaped keying Q multiplier < 7: 0>
53
54
Set_DDS_Reg(0x25,0x01); // Output shaped keying ramp rate < 7: 0>
55
56
Set_DDS_Reg(0x26,0x00); // QDAC <11: 8>
57
Set_DDS_Reg(0x27,0x00); // QDAC < 7: 0> (twos complement format)
58
}
59
//**********************************************************************
Ist in dieser vorläufigen Initialisierung-Routine was blöd?
Woran kann es liegen?

von hal9000 (Gast)


Lesenswert?

was willste denn, so schlimm ist das doch garnicht.
Weisst Du überhaupt wie ein DDS funktioniert?

von Peter Z. (Gast)


Lesenswert?

hal9000 schrieb:
> was willste denn, so schlimm ist das doch garnicht.

Naja, so wie es jetzt ist, ist es für mich absolut unbrauchbar...

> Weisst Du überhaupt wie ein DDS funktioniert?

Ich denke schon, aber worauf willst du hinaus?!

von Christian S. (christianstr)


Lesenswert?

sieht für mich auch nicht nach einem sehr üblen Sprektrum aus, liegt 
doch niedriger als -60dbm, das ist schon einiges.

von Peter Z. (Gast)


Angehängte Dateien:

Lesenswert?

Christian Str schrieb:
> sieht für mich auch nicht nach einem sehr üblen Sprektrum aus, liegt
> doch niedriger als -60dbm, das ist schon einiges.

Ich habe diese 20MHz aus dem AD9854-DDS mit einem 20MHz aus einem Signal 
Generator gemischt (ZX05-2-S+) und das dann auf einen 400 kHz Tiefpass 
gegeben.
Das Ergebnis habe ich mir über meine PC-Aktiv-Boxen angehört:
Rauschen plus eine Art Hup-ton.
Hier mal ein Bild vom Oszilloskop:
Da kann man neben dem Rauschen regelmässige Zacken erkennen, so alle 3 
ms.

von Michael R. (mexman) Benutzerseite


Lesenswert?

Ich finde die 56dB Abstand auch nicht sooo schlecht.
Was machst Du denn eigentlich mit dem Signal noch so:
Du scheinst da ja 1W zu blasen (-20 dB Abschwaecher, Referenz +10dBm)!

Gruss

Michael

von Michael R. (mexman) Benutzerseite


Lesenswert?

> Da kann man neben dem Rauschen regelmässige Zacken erkennen, so alle 3
> ms.

Klar, die sieht man auch im Spektrum Deines ersten Beitrages!

Rueck mal raus, was Du eigentlich treibst:

Mit was mischst Du denn ein 1W HF Signal?
Was hast Du denn ueberhaupt vor?

gruss

Michael

von Peter Z. (Gast)


Lesenswert?

Michael Roek schrieb:
> Du scheinst da ja 1W zu blasen (-20 dB Abschwaecher, Referenz +10dBm)

Nein nein, nur keine Angst.
Der Spectrum-analyser zeigt schon den richtigen Pegel an, so ca. 3dBm.
Der 20dB Attenuator ist da mit eingerechnet!

> Ich finde die 56dB Abstand auch nicht sooo schlecht.
Ne, -56dBc das ist eher NE555 Niveau   ;-)

von Christian S. (christianstr)


Lesenswert?

Bin jetzt kein Experte, aber les ich das richtig, dass der AD9854 bei 
20MHz sowieso nur einen Rauschabstand von 58dB hat? Dann lägst du ja 
voll drin

von Peter Z. (Gast)


Lesenswert?

Christian Str schrieb:
> aber les ich das richtig, dass der AD9854 bei
> 20MHz sowieso nur einen Rauschabstand von 58dB hat?

Wo genau steht das?

Leider ist das bei mir kein Rauschen, sondern das DDS-Ausgangssignal
macht anscheinend alle 3ms einen Phasensprung.
Diesen gilt es zu beseitigen!

von Purzel (Gast)


Lesenswert?

Womit wurde dieser AD9854 denn geclockt ? Was fuer eine Quelle und mit 
welcher Frequenz ?

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Das Spektrum eines DDS hängt vom Zahlenverhältnis Takt zu 
Ausgangsfrequenz ab. Richtig sauber wird es nur bei sehr ganzzahligem 
Verhältnis, vor allem Zweierpotenzen. In naher Umgebung der Sollfrequenz 
wird es besonders unschön, wenn das Verhältnis knapp neben einer 
sauberen Ganzzahl liegt.

von Immer diese Bastler (Gast)


Lesenswert?

Wenn man einen Spectrum Analyser besitzt sollte man nicht mit DDS 
experimentieren. Das bringt nur Frust.

von Peter Z. (Gast)


Lesenswert?

Purzel schrieb:
> Womit wurde dieser AD9854 denn geclockt ? Was fuer eine Quelle und mit
> welcher Frequenz ?

Mit 10MHz aus einem Signal Generator.
Ref Mult = 20
Damit ist die interne Frequenz dann 200MHz

von Purzel (Gast)


Lesenswert?

>Mit 10MHz aus einem Signal Generator.
Ref Mult = 20

Koennen wir das Spektrum dieses Signalgenerators sehen ?

von Peter Z. (Gast)


Angehängte Dateien:

Lesenswert?

Purzel schrieb:
> Koennen wir das Spektrum dieses Signalgenerators sehen ?

Jau!

von S(p)a(r)tanist (Gast)


Lesenswert?

Peter Zz schrieb:
> Das Ergebnis habe ich mir über meine PC-Aktiv-Boxen angehört:
>
> Rauschen plus eine Art Hup-ton.

Sehr effektvolle Messmethode.

Deine 3ms Phasensprung kommen entweder von einem Parametrierfehler (die 
DDS läuft nicht durch und startet neu) oder es ist etwas anderes falsch 
eingestellt.

Ich kann mir nicht vorstellen, dass der Ganzzahligkeitssprung das 
auslöst. Das wäre nur ein verschluckter oder widerholter Wert.

von Peter Z. (Gast)


Lesenswert?

S(p)a(r)tanist schrieb:
> Deine 3ms Phasensprung kommen entweder von einem Parametrierfehler (die
> DDS läuft nicht durch und startet neu) oder es ist etwas anderes falsch
> eingestellt.

Das ist auch meine Befürchtung.
Hat hier schon mal jemand den AD9854 benutzt, und kann seine 
Initialisierung posten?

von Christian K. (Firma: Atelier Klippel) (mamalala)


Lesenswert?

Bzgl. der Sprünge, könnte das evtl. die Ursache sein?
1
Set_DDS_Reg(0x25,0x01); // Output shaped keying ramp rate < 7: 0>

Wegen des Signals an sich. Wie hast Du denn gefiltert, und wie sieht die 
Spannungsversorgung aus? Bei 200MHz Takt und 20MHz Ausgangsfrequenz hat 
es ja nur 10 Stützpunkte aus der Cosinus-Tabelle. Da muss man schon 
ordentlich filtern.

Dazu kommt das ein DDS an sich halt kein VFO ist und es durch die Art 
der Signalaufbereitung eben auch zu Störspektren kommt. Aber auch hier 
wieder: Filtern hilft einiges.

Grüße,

Chris

von Ralph B. (rberres)


Lesenswert?

Gegen Phasenrauschen eines DDS Generators hilft nur möglichst viele 
Stützstellen und eine möglichst hohe vertikale Auflösung ( Wortbreite 
AD-Wandler).Genau da sind bei den Chipherstellern enge Grenzen gesetzt.

Nicht umsonst sind DDS Synthesizer als Localoszillatoren in KW und UKW 
Empfängern nicht der letzte Hit.

Das ist mit ein Grund warum das Icom IC202 bzw 402 bei den Funkamateuren 
im Gigaherzbereich als Nachsetzer so beliebt waren. Sie hatten nämlich 
als Localoszillator einen gezogenen Quarzoszillator, welches ein sehr 
geringes Seitenbandrauschen hatten.

Im Gegensatz zu den DDS Oszillatoren. Aber vielleicht kapieren die 
Hersteller von Amateurfunkgeräten das ja irgendwann auch mal.

Ralph Berres

von DeDeEs (Gast)


Lesenswert?

Ralph Berres schrieb:
> Nicht umsonst sind DDS Synthesizer als Localoszillatoren in KW und UKW
> Empfängern nicht der letzte Hit.

Jetzt kommt Berres Märchenstunde...

von Purzel (Gast)


Lesenswert?

Chris:
>Dazu kommt das ein DDS an sich halt kein VFO ist und es durch die Art
der Signalaufbereitung eben auch zu Störspektren kommt. Aber auch hier
wieder: Filtern hilft einiges.

Filtern hilft gar nichts. Ein Phasenrauschen kriegt man nur mit einem 
guten Resonator weg. Dann ist der Witz des DDS aber auch weg. Eigentlich 
kann man nur die treibende Frequenz des DDS, hier 200MHz, filtern.

Die gemessenen Spurs, sind Linien, da der Teiler ganzzahlig ist, da das 
Sampling zur Ausgangsfrequenz periodisch ist. Die Spurs sind im Rahmen 
des Quantisierungsrauschens des DDS internen DAC. die 360Hz Spurabstand 
sind mir grad auch nicht klar.

von Ralph B. (rberres)


Lesenswert?

DeDeEs schrieb:
> Jetzt kommt Berres Märchenstunde...

So meinst du?

Warum setzen die Hersteller von Afunkgeräten denn DDS Synthesizer ein 
und
Hersteller von HF Signalgeneratoren Fraktional-N Synthesizer ein?
Renomierte Hertsteller von KW Empfängern wie Rohde&Schwarz immer noch 
Synthesizer mit ganzzahlige Teiler aber dadurch eben keine Auflösung von 
1Hz ein?

DDS Synthesizer sind billig und Massenware. Was man von einen analogen 
VFO nicht behaupten kann.

Fraktional-N Synthesizer werden in HF-Signalgeneratoren eingesetzt, weil 
man dort zumindest mit etwas Aufwand das durch den fraktionalen Teiler 
entstehenden Phasenrauschen kompensieren kann und auch tut. Das ist bei 
einen DDS Synthesizer schwierig bis garnicht möglich.

Die heutigen Afunk KW-TRX glänzen zwar mit Werbesprüchen wie hohen 
intermodulationsfreien Dynamikbereich, aber die Probleme die durch 
reziproges Mischen des DDS Phasenrauschens weiterhin bestehen wird kein 
Wort drüber verloren.

von DeDeES (Gast)


Lesenswert?

Das war Teil 1 der Märchenstunde...

von Ralph B. (rberres)


Lesenswert?

DeDeES schrieb:
> Das war Teil 1 der Märchenstunde...

Wenn du sonst nichts dazu beitragen kannst?

von Christian K. (Firma: Atelier Klippel) (mamalala)


Lesenswert?

Purzel schrieb:
> Chris:
>>Dazu kommt das ein DDS an sich halt kein VFO ist und es durch die Art
> der Signalaufbereitung eben auch zu Störspektren kommt. Aber auch hier
> wieder: Filtern hilft einiges.
>
> Filtern hilft gar nichts.

Natürlich bekommt man aus einem DDS kein absolut sauberes Signal, das 
ist klar. Aber das Filtern garnichts hilft ist einfach nur Quatsch. In 
den Appnotes wird nicht umsonst von einem Rekonstruktionsfilter 
gesprochen. Es gibt auch reichlich Notes und weitere Literatur zum Thema 
DDS, wo man auch entsprechende Messungen und Werte sehen kann, welche 
aufzeigen welche Auswirkungen ein gutes Filter, bzw. das Fehlen eines 
solchen, hat.

Grüße,

Chris

von Falk B. (falk)


Lesenswert?

Hmmm, gabs da nicht mal vor Jahren ein Projekt, wo ein Amateurfunker per 
DDS und Mischer 1-2 GHz ziemlich sauber erzeugt hat?

von Jörg W. (dl8dtl) (Moderator) Benutzerseite


Lesenswert?

Christian Klippel schrieb:
> Aber das Filtern garnichts hilft ist einfach nur Quatsch.

Du hättest Purzels nächsten Satz mit zitieren müssen: Filtern hilft
nichts gegen das Phasenrauschen.

Filtern hilft natürlich (und daher heißt es Rekonstruktionsfilter)
gegen die Image-Frequenzen, die durch den sprunghaften Wechsel der
Ausgangsspannung entstehen, also gegen die unerwünschten Frequenzen,
die sehr weit von der gewünschten weg liegen.

Beim Phasenrauschen hast du aber unerwünschte Frequenzen dicht an der
gewünschten dran (siehe Spekki-Messung ganz oben), dagegen hilft kein
Filter.

von Ulrich (Gast)


Lesenswert?

Für einen Test wäre es vermutlich besser den extra Multiplizierer für 
die Amplitude einfach abzuschalten also eher Register $20 auf 0 setzen. 
Dann sollte auch die Rampe keinen Ärger machen können.

von Miwi (Gast)


Lesenswert?

DeDeES schrieb:
> Das war Teil 1 der Märchenstunde...


Ralph - laß ihn doch stänkern, solang er nix besseres Beitragen 
begründet beitragen kann - who carres.

Grüße

MiWi

von Helmut S. (helmuts)


Lesenswert?

@Peter,
Setze mal die Frequenz exakt auf 1/16 oder 1/32 der internen 
Taktfrequenz. Schau dir dann das Ausgangssignal mit dem Speci an. Was du 
dann um die Ausgangsfrequenz (200/16) MHz herum noch siehst, ist die 
spektrale (Un)Reinheit des Trägers.

Das wäre 1/16 der Frequenz:
<47:40> 00010000
Alle niederwertigeren Bits auf 0

von usr (Gast)


Lesenswert?

als  Ref Clock  sollte  schon  etwas  besseres  dran, durch  die 
interne  Pll   wird  das ganze noch  schlechter

ein  guter  Quarzoszillator wäre schon  besser  und  dann  besser  ohne 
die  interne  Pll x20  zu  nutzen

mit  dem  derzeitigen  Ref  Clock  ist  das  Ergebnis  schon  ok

auch  die Qualität der  Stromversorgung  sollte  beachtet  werden
das  Rauschen einiger  Spannungsregler  kann  da  auch  Probleme machen

und  es  gibt  auch  modernere  DDS  als  den AD9854

von Falk B. (falk)


Lesenswert?

http://www.analog.com/static/imported-files/tutorials/450968421DDS_Tutorial_rev12-2-99.pdf

DDS hat systematisch ein Problem mit Nebenlinien nah am Träger. Aber man 
kann schon einiges machen. Neben den Grundlagen wie sauberer Takt, 
Stromversorgung, möglichst guter DAC kann man auch noch Dithering 
nutzen, so eine Art Spread Spectrum Modulation, um die "harten" 
Nebenlinien zu vermatschen.

von Peter Z. (Gast)


Angehängte Dateien:

Lesenswert?

So, Problem gelöst, war eine schwere Geburt:

Nicht etwa ein Fehler in der Initialisierung des DDS,
sondern auf der Platine ist noch ein Quarzoszillator als Takt-Quelle.
Mit einem Jumper kann man nun wählen ob der Takt von diesem 
Quarzoszillator oder von einer externen Referenz kommen soll.

Wenn externe Referenz gewählt ist, ist der interne Quarzoszillator nicht 
etwa abgeschaltet, sondern kann über den Jumper doch noch kapazitiv 
einkoppeln!!!
Designfehler!!!
Der Quarzoszillator liegt ein paar 100Hz neben meiner externen Referenz, 
daher die Seitenbänder weil die *20-PLL auf dem AD9854 gestört wird.
Habe dem Quarzoszillator die Stromversorgung gekappt, und Ruhe ist, 
siehe Bild.

von BiBi (Gast)


Lesenswert?

Du kannst die DDS noch filtern, wenn die F konstant ist.

von Falk B. (falk)


Lesenswert?

@  Peter Zz (bastelboy)

>So, Problem gelöst, war eine schwere Geburt:

Glückwunsch!

>Wenn externe Referenz gewählt ist, ist der interne Quarzoszillator nicht
>etwa abgeschaltet, sondern kann über den Jumper doch noch kapazitiv
>einkoppeln!!!

Über eine Jumper? Der hat vielleich 1pF. Da müsste die externe Referenz 
ja tierisch hochohmig sein.

>Der Quarzoszillator liegt ein paar 100Hz neben meiner externen Referenz,
>daher die Seitenbänder weil die *20-PLL auf dem AD9854 gestört wird.
>Habe dem Quarzoszillator die Stromversorgung gekappt, und Ruhe ist,
>siehe Bild.

Vielleicht ist der Koppelmechanismus auch ein anderer. Kann sein, dass 
der Quarz über die Betriebsspannung einkoppelt.

von W.S. (Gast)


Lesenswert?

Jörg Wunsch schrieb:
> Beim Phasenrauschen hast du aber unerwünschte Frequenzen dicht an der
> gewünschten dran (siehe Spekki-Messung ganz oben), dagegen hilft kein
> Filter.

Ach du Phasenmoderator..

Bei jedem DDS ist ein Tiefpass-Filter schlichtweg Pflicht. Das ist 
nicht nur gegen die unerwünschten Images nötig, sondern eben auch gegen 
das Phasenrauschen. Ist ne Besonderheit von DDS: So ein DDS erzeugt ja 
diskrete Stützstellen auf der gewünschten Sinuskurve und nicht etwa ne 
Sinuskurve mit Phasenrauschen drauf. Das ist der Knackpunkt. 
Mechanistisch gesprochen ist ein Tiefpass ne Art "Schwungrad", das die 
stoßweise "Bewegung" des DDS-Ausganges in eine gleichförmige Bewegung 
wandelt. Jaja, ich weiß, nicht alles was hinkt, ist ein Vergleich, aber 
dennoch...

Und zur Verwendung von DDS in Empfängern: Sowas wird allenthalben 
gemacht und ist richtig gut, wenn man es richtig macht. Mein AR7030 hat 
auch ein DDS als Abstimmung: Das gibt es einen möglichst sauber 
konstruierten VCO von 30 bis 60 MHz, der sowohl als 1. LO dient als auch 
den Takt für das DDS liefert. Das Ausgangssignal des DDS wird dann mit 
nem Referenzoszillator verglichen und der VCO nachgesteuert. So hat man 
alles beisammen: die feine Auflösung des DDS im Vergleich zu 
konventionellen PLL's, die relativ hohe Phasenvergleichsfrequenz, die 
man braucht, um wenig Probleme mit der Regelschleife zu haben und die 
Sauberkeit des VCO's.

W.S.

von Jörg W. (dl8dtl) (Moderator) Benutzerseite


Lesenswert?

W.S. schrieb:
> Bei jedem DDS ist ein Tiefpass-Filter schlichtweg Pflicht.

Hatte ich irgendwo etwas Gegenteiliges behauptet?

Nur, wie soll dein Schwungrad, wenn es beispielsweise auf eine
Grenzfrequenz von 80 MHz konzipiert ist (was ja für 200 MHz
DDS-Takt ein typischer Wert wäre) irgendwie unterscheiden können,
ob die 20,0003 MHz nun eine unerwünschte Frequenz gegenüber den
eingestellten 20,00000 MHz ist, oder ob stattdessen vielleicht
20,0003 MHz eingestellt worden sind?

Das ist doch der Bereich, den das Schwungrad nicht abdeckt, es
ändert sich zwischen den einzelnen Schwung-Impulsen trotzdem noch
leicht in seinerm Gleichlauf, wenn die Schwung-Impulse selbst nicht
völlig äquidistant sind.

von Ralph B. (rberres)


Lesenswert?

W.S. schrieb:
> Das gibt es einen möglichst sauber
>
> konstruierten VCO von 30 bis 60 MHz, der sowohl als 1. LO dient als auch
>
> den Takt für das DDS liefert. Das Ausgangssignal des DDS wird dann mit
>nem Referenzoszillator verglichen und der VCO nachgesteuert.

Das wäre ein guter Ansatz, den aber nur die wenigsten Hersteller 
befolgen.

Meistens wird das Ausgangssignal des DDS direkt als 1.LO Signal 
verwendet.

Nur muss dann die Regelzeitkonstante in der VCO Regelschleife so 
dimensioniert sein, damit es das Phasenrauschen hinreichend unterdrückt.
Auserdem muss der VCO eine möglichst hohe Schwingkreisgüte haben und von 
sich aus schon sehr stabil sein, damit es seinerseits kein unnötiges 
Phasenrauschen erzeugt.

Ralph Berres

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.