Forum: Mikrocontroller und Digitale Elektronik MSP430F149 externer Clock


von Matthias P. (Firma: privat) (sogge)


Lesenswert?

Hi leute,
Bin grad dabei einen externen Clock an einem MSP430F149 zu init.
also ich habe ein eval. Board Ts430PM64 und einen aufgelöteten Clock (ka 
was das für einer ist hab das bord von einem Koll. übernommen)

Nun versuche ich den Clock zu inti.

void init_XT2(void)
{

  unsigned int i;
  WDTCTL = WDTPW + WDTHOLD;             // Watchdog aus
  BCSCTL1 &= ~XT2OFF;                   // XT2 is on

  do
  {
    IFG1 &= ~OFIFG;                       // Clear OSCFault flag

    for (i = 0xFF; i > 0; i--);           // Time for flag to set

  }
  while ((IFG1 & OFIFG) != 0);          // OSCFault flag still set?

  BCSCTL2 |= SELM1;                     // MCLK = XT2 (safe)
}


kann es sein das es ein Hardware def. ist? weil er bleibt immer an der 
While überpüfung hängen...
laut register ist das OFIFG immer noch auf 1...

von Rufus Τ. F. (rufus) Benutzerseite


Lesenswert?

Du versuchst den Oszillator XT2 zu verwenden, und willst aber "einen 
externen Clock" nutzen.

Was jetzt? Einen externen Quarz/Resonator/etc. oder tatsächlich eine 
externe Taktquelle?

Und wo ist die angeschlossen?

von Matthias P. (Firma: privat) (sogge)


Lesenswert?

also der quarz ist an XT2IN und XT2OUT angeschlossen
und ich möchte diesen Clock als MCLK verwenden

von Jörg S. (joerg-s)


Lesenswert?

Beispiel "fet140_hfxtal.c" von:
http://www.ti.com/lit/zip/slac015

von Matthias P. (Firma: privat) (sogge)


Lesenswert?

Danke aber diese Beispiele habe ich schon
habs ja auch genau so gemacht eig.

von Rufus Τ. F. (rufus) Benutzerseite


Lesenswert?

Matthias P. schrieb:
> habs ja auch genau so gemacht eig.

Tja, dann musst Du Dir vielleicht doch mal ansehen, was Dein Kollege da 
auf Deine Platine gelötet hat.

von Matthias P. (Firma: privat) (sogge)


Lesenswert?

Jo danke Prob. gelöst...
quarz war def. ist nicht angeschwungen also genau das was ich vermutet 
habe

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.