Forum: FPGA, VHDL & Co. Anleitung/Erfahrungen NCSim - Umstieg von ModelSim


von Ralf (Gast)


Lesenswert?

Hallo zusammen,

ich arbeite seit geraumer Zeit mit Modelsim, erstelle mir meine .do 
Dateien und kann so recht zügig meine vhdl-Files mit den dazugehörigen 
Testbenches laden und simulieren.

Ein neues Projekt (Zusammenarbeit mit einer Firma) erfordert nun den 
Umstieg auf NCSim von Cadence in einer Linuxumgebung - der zuständige 
Laboringenieur ist auf unbestimmte Zeit verhindert :(

Gibt es irgendwo eine Anleitung, wo ich meine Arbeitsweise aus Modelsim 
weitestgehend auf das neue Tool anwenden kann?
Es wird ja sicher auch eine Lib (Modelsim: vlib work) geben, in die die 
vhdl-Datein einkompiliert (Modelsim: vcom ...) werden, es wird ja sicher 
auch Dateien geben, die per batch command-line mode zahlreiche Befehle 
abarbeiten lassen (Modelsim: do script.do), add wave Befehle, Gruppen, 
verschiedene Radix und und und...

Hat jemand vielleicht den gleichen Umstieg hinter sich und kann mit ein 
paar Hinweise geben?

Wo finde ich eine entsprechende Übersicht, wie die Befehle hier 
aussehen, die ich in einer batch anwenden kann?
Wie starte ich NCSim im Linux überhaupt? Muss ich über den NCLaunch 
Befehl gehen?

Alle Tutorials die ich finde, basieren auf uralt Versionen...

Vielen Dank!
Ralf

von user (Gast)


Lesenswert?

kleines Beispiel:

ncvhdl -V93 testbench.vhd
ncelab -access +RWC -messages testbench
ncsim -gui -messages testbench -input restore.tcl

du kannst die waveform speichern als restore.tcl und dann direkt laden

von Algex (Gast)


Lesenswert?

Kennst du einen Simulator, kennst du alle ;-)

Eigentlich reicht ein kurzer Blick ins Manual oder in die enthaltenen 
Beispiele. Am Ende passiert immer das gleiche!

von Ralf (Gast)


Lesenswert?

Hallo zusammen,

Ich kenne Modelsim, aber komme mit NCSim absolut nicht klar. Es ist 
alles andere als intuitiv!
Habe jetzt erstmal NCLaunch gestartet und dort versucht, mit dem GUI 
etwas zu erreichen, aber es scheitert schon am anlegen einer work 
libary, wo mir das entsprechende Menü jetzt schon wiederholt nicht 
angezeigt wird :(

Gibt es denn vielleicht eine direkte oder indirekte Alternative für 
folgende Modelsim befehle:
1
quit -sim
2
cd /design_verzeichnis/
3
vlib work
4
vcom design.vhd
5
vcom tb_design.vhd
6
vsim -novopt -t ps sim_dut
7
8
add wave -noupdate -divider CLK_usw.
9
add wave -noupdate sim:/sim_dut/dut/clk
10
add wave -noupdate sim:/sim_dut/dut/nres
11
add wave -noupdate -divider INPUTS
12
add wave -noupdate -radix unsigned sim:/sim_dut/dut/in
13
add wave -noupdate -divider OUTPUTS
14
add wave -noupdate -radix unsigned sim:/sim_dut/dut/out
15
update
16
17
restart
18
run 100ns
19
run -all

Vielen Dank!
Ralf

von DuArte (Gast)


Lesenswert?

>der zuständige Laboringenieur ist auf unbestimmte Zeit verhindert

Und das war der Einzige, der sich auskennt?

von Ralf (Gast)


Lesenswert?

Hallo,

DuArte schrieb:
> Und das war der Einzige, der sich auskennt?
Ich konnte bisher keinen weiter ausfindig machen, der unter dieser 
Linux-umgebung gearbeitet hat.
Der Kontakt zur externen Firma ist auch noch nicht so ausgereift, dass 
ich dort unverbindlich nachfragen könnte.

Mit diesem Tutorial bin ich etwas (!) weiter gekommen:
http://quartushelp.altera.com/9.1/mergedProjects/eda/simulation/ncsim/eda_pro_ncsim_func_sim.htm

Meien cds-lib sieht aktuell so aus:
1
define work /designpfad/sim/work
2
include $CDS_INST_DIR/tools/inca/files/cds.lib

Meine hdl.var sieht so aus:
1
define WORK work
2
include $CDS_INST_DIR/tools/inca/files/hdl.var

Damit komme ich mit dem folgenden Befehl zumindest etwas weiter und er 
findet auch die IEEE und STD Sachen.
1
ncvhdl -work work /designpfad/sim/deign.vhd

Jedoch bekomme ich folgende Fehlermeldung:
1
ncvhdl_p DLUNNE: Can't find STANDARD at /programmpfad/tools/inca/files/STD
Dort gibt es einen Ordner standard, aber der ist komplett klein 
geschrieben, könnte er deswegen meckern und die Lib nicht finden?

Ich bin gerade etwas ratlos :(

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.