Tomy schrieb:
> Ist die DelSig_Clock_i hier auch als "incoming clock" zu betrachten?
Woher kommt dieser "Takt"?
Wer erzeugt den?
BTW:
Der Code liest sich abartig holprig wegen der vielen Unterstriche. Das
Auge ersetzt die durch ein Leerzeichen und man muss EXTREM aufpassen, wo
der Name beginnt und endet. Die unnötigen Kürzel _r, _i und _s machen
die Übersichtlichkeit nicht besser. GROSS GESCHRIEBENE TOKENS sind wegen
des Syntax-Highlightings aktueller Editoren unnötig. Wichtig am
Delta-Sigma-ADC ist auch nicht, dass es ein Delta-Sigma, sondern ein
ADC ist. Die Kommentare sind unnötig, mit einer leichten Tendenz in
Richtung "falsch". Ich hoffe, dass ich niemals solchen Code bekomme,
überarbeiten und verstehen muss.
Wer kritisiert soll auch Änderungs- und Verbesserungsvorschläge bringen.
Ich hätte das so geschrieben:
1 | signal AdcClkSR std_logic_vector(1 downto 0);
|
2 |
|
3 |
|
4 | process begin
|
5 | wait until rising_edge(SysClk);
|
6 | AdcClkSR <= AdcClkSR(0)&AdcClk;
|
7 | if AdcClkSR="01" then -- steigende Flanke
|
8 | AdcDataValid <= AdcData;
|
9 | end if;
|
10 | end process;
|