Forum: FPGA, VHDL & Co. Typenkonversion


von Michael (Gast)


Lesenswert?

Hy, mir wird bei meinem Zähler folgender Felher ausgegeben und ich komme 
nicht auf die Lösung:

signal Zahler : Std_logic_vector(3 downto 0);
.
.
.
Zahler <= Zahler + "0001";

Error: ... + can not have such operands in this context.
-----------------------------------------------------------
Danke für eure Hilfe.

von Josef G. (bome) Benutzerseite


Lesenswert?

Michael schrieb:
> Zahler <= Zahler + "0001";

Versuch mal stattdessen:

Zahler <= std_logic_vector(unsigned(Zahler) + 1);

(Im Kopf der Entity numeric_std einbinden)

von Duke Scarring (Gast)


Lesenswert?

Bzw. den Zähler gleich richtig definieren:
1
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
5
...
6
7
 signal Zahler : unsigned(3 downto 0);
8
9
...
10
11
 Zahler <= Zahler + 1;

Duke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.