Forum: FPGA, VHDL & Co. VHDL Problem mit 2 Programmen


von alpini (Gast)


Angehängte Dateien:

Lesenswert?

Schönen guten Abend,

ich habe folgendes VHDL Problem:

Ich würde gerne die 2 Programme in eines Zusammenfassen und weiß nicht 
wirklich wie das geht?

Kann mir hier vielleicht jemand helfen?

Als erstes brauche ich einen Taktteiler, da mein Oszillator 4MHz hat und 
ich gerne 1 Hz hätte (Werte im Programm stimmen noch nicht!)

Als zweites sollte mit dem Takt 1Hz die Ampel-Schaltung (Ampel.vhd) 
betrieben werden.


Kann das jemand zusammenfassen vielleicht?

Wäre super, danke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

alpini schrieb:
> Ich würde gerne die 2 Programme
Warum heißt VHDL eigentlich VHDL und nicht VHPL?

> in eines Zusammenfassen und weiß nicht wirklich wie das geht?
Mach ein drittes Modul. Nenne das "toplevel" und instantiiere die beiden 
Module als Komponenten darin.

1
schaltung: process (CLK) -- Prozess wird ausgeführt, wenn Signal von CLK sich ändert
Ein Prozess wird ncht ausgeführt, sondern ist in der Hardware immer 
da. Er besteht aus Logik und Flipflops. Die Sensitivliste ist nur und 
ausschließlich für den Simulator: der berechnet den Prozess neu, wenn 
sich ein Wert in der Sensitivliste ändert.

> Als erstes brauche ich einen Taktteiler, da mein Oszillator 4MHz hat und
> ich gerne 1 Hz hätte (Werte im Programm stimmen noch nicht!)
> x := NOT x;
Du bist auf dem Holzweg. Nur extrem unerfahrene Anfänger teilen in einem 
FPGA den Takt einfach so herunter und verwenden den "neuen" Takt dann 
als Quelle für ihre Beschreibung.
Fortgeschrittene und Profis arbeiten mit "Clock Enable" Signalen. Sieh 
dir einfach mal das Lauflicht da an (eine Ampel ist eigentlich auch nur 
ein Lauflicht):
http://www.lothar-miller.de/s9y/archives/2009/10/C1/P2.html
Da gibt es nur 1 Takt: die 100MHz. Und das Weiterschalten wird mit einem 
Clock-Enable gemacht.

> Kann das jemand zusammenfassen vielleicht?
Meinst du nicht, dass du deine Hausaufgaben selber machen solltest?

: Bearbeitet durch Moderator
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.