Guten Morgen zusammen,
ich hätte da mal ein kleines Problem. Ich möchte einem Signal einen Wert
zuweisen, dieser wird jedoch nicht übernommen. Es wird mir lediglich der
Deklarationswert "00000000" zurückgeliefert.
Ich überprüfe das Ganze, indem ich mir die Werte über LED's anzeigen
lasse.
Schon mal vielen Dank für euere Hilfe.
1 | -------------------------------------------------------------------------
|
2 | library ieee;
|
3 | use ieee.std_logic_1164.all;
|
4 | use ieee.numeric_std.all;
|
5 | -------------------------------------------------------------------------
|
6 | entity test is
|
7 | generic (
|
8 | ...);
|
9 | port (
|
10 | ...);
|
11 |
|
12 |
|
13 | end test;
|
14 | -------------------------------------------------------------------------
|
15 |
|
16 | architecture behaviour of test is
|
17 |
|
18 | signal Wert1 : std_logic_vector (7 downto 0) := "00000000";
|
19 |
|
20 |
|
21 |
|
22 | begin
|
23 |
|
24 |
|
25 | wert1 <= "10000000";
|
26 |
|
27 |
|
28 |
|
29 |
|
30 | end behaviour;
|