Forum: FPGA, VHDL & Co. Wert lässt sich nich einem SIGNAL zuweisen


von mark.us (Gast)


Lesenswert?

Guten Morgen zusammen,

ich hätte da mal ein kleines Problem. Ich möchte einem Signal einen Wert 
zuweisen, dieser wird jedoch nicht übernommen. Es wird mir lediglich der 
Deklarationswert "00000000" zurückgeliefert.

Ich überprüfe das Ganze, indem ich mir die Werte über LED's anzeigen 
lasse.

Schon mal vielen Dank für euere Hilfe.
1
-------------------------------------------------------------------------
2
library ieee;
3
use ieee.std_logic_1164.all;
4
use ieee.numeric_std.all;
5
-------------------------------------------------------------------------
6
entity test is
7
  generic (
8
    ...);
9
  port (
10
    ...);
11
   
12
   
13
end test;
14
-------------------------------------------------------------------------
15
16
architecture behaviour of test is
17
18
signal Wert1 : std_logic_vector (7 downto 0) := "00000000";
19
20
21
22
begin
23
24
25
   wert1 <= "10000000";
26
  
27
28
29
30
end behaviour;

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Vorneweg: der Fehler ist nicht im geposteten Code.

mark.us schrieb:
> Es wird mir lediglich der Deklarationswert "00000000" zurückgeliefert.
Und wenn du da mal was anderes als nur Nullen "initialisiert" (kommt 
von "Initialisierungswert")?

> Ich überprüfe das Ganze, indem ich mir die Werte über LED's anzeigen
> lasse.
Welche Plattform? Toolchain? Warnungen? Fehlermeldungen?

von Dussel (Gast)


Lesenswert?

Dann ändere mal den Initialisierungswert, um zu sehen, ob wirklich Wert1 
ausgegeben wird.

mark.us schrieb:
> indem ich mir die Werte über LED's anzeigen
> lasse.
Der Genitiv passt hier übrigens nicht und wird auch nicht mit Apostroph 
gebildet.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Dussel schrieb:
> Der Genitiv passt hier übrigens nicht und wird auch nicht mit Apostroph
> gebildet.
Da sehe ich mal großzügig drüber weg (die Mehrzahl von LED ist zwar auch 
LED, aber eigentlich kann man da "LEDs" wie bei "Autos" auch durchgehen 
lassen).
Wens interessiert und wer sich dahingehend weiterbilden möchte (kann ja 
nicht schaden, genauso schlau zu sein wie die Sekretärin...) hier Infos 
zum Thema: http://www.deppenapostroph.info/

von Dussel (Gast)


Lesenswert?

Lothar Miller schrieb:
> Da sehe ich mal großzuügig drüber weg.
Da die Frage ansonsten ordentlich formuliert ist, dachte ich, ich weise 
mal freundlich(!) darauf hin. Das kostet nur ein paar Byte und eine 
Sekunde zum Lesen. :-)

Zwei mögliche Fehler fallen mir ein, wobei der eine trivial und der 
andere etwas abwegig ist:
Ist die Pinzuordnung richtig?
Ist der Ausgangstreiber der Flussspannung der LED entsprechend 
eingestellt? Weiße LED und 2,5V Ausgangsspannung könnte Probleme geben.

von greg (Gast)


Lesenswert?

mark.us schrieb:
> Ich überprüfe das Ganze, indem ich mir die Werte über LED's anzeigen
> lasse.
>

Ziemlich ineffizient - hast du es mal mit Simulation probiert? Dann 
hättest du auch herausgefunden, dass der Fehler woanders liegen muss.

von Schlumpf (Gast)


Lesenswert?

Pinzuweisung korrekt?
Pin-Typ korrekt eingestellt?
IO-Bank korrekt versorgt?
LED richtig herum angeschlossen?

von mark.us (Gast)


Lesenswert?

Vielen Dank für eure Hilfestellungen.

Habe den Fehler gefunden.

von Dussel (Gast)


Lesenswert?

mark.us schrieb:
> Habe den Fehler gefunden.
Dann wäre es auch nett, wenn du uns sagst, was es war. Zum einen bin ich 
neugierig, zum anderen hat vielleicht später mal jemand den gleichen 
Fehler.
Oder ist es dir zu peinlich? Versorgungsspannung nicht angeschlossen? 
;-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

mark.us schrieb:
> Habe den Fehler gefunden.
Und welcher wars? Nur, falls jemand mal so ein ähnliches Problem hat...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.