Forum: FPGA, VHDL & Co. FT2232H asynchronous FIFO mode


von Jingyi W. (jingyi)


Angehängte Dateien:

Lesenswert?

Hallo alle, ich bin Neuling mit VHDL und habe die Aufgabe bekommen, um 
das FT2232H in die asynchronous FIFO mode zu bringen. Im Verbindung ist 
ein Spartan 6 mit Signalübertragung von Daten mit 8-bits-Breite. Ich 
habe jeweils eine FSM für RX und TX nach dem Zeitdiagramm von asyn FIFO 
mode in VHDL realisiert sowie die Applikationssoftware nach 
Applikationsnote über FT245 FIFO mode in PC programmiert. In PC ist es 
schon möglich die Daten aus FPGA zu zeigen. Aber ich habe "Device 
Monitoring Studio" verwendet und die kommenden Daten werden nach eine 
gewisse Zeit auf eine Zahl bleiben. Außerdem habe ich noch folgende 
Fragen:
1. Wie kann ich die Übertragung von PC nach FPGA prüfen?
2. Wie kann ich die Kommunikationsgeschwindigkeit überprüfen?
3. Wie kann ich den kompletten USB Treiber validieren?

Vielen Dank im Voraus!
Jingyi

von Christian R. (supachris)


Lesenswert?

Jingyi Wu schrieb:
> 1. Wie kann ich die Übertragung von PC nach FPGA prüfen?

Du könntest z.B. eine Loopback Möglichkeit in dein FPGA einbauen, so 
dass du TX und RX gleichzeitig testen kannst.

> 2. Wie kann ich die Kommunikationsgeschwindigkeit überprüfen?

Einfach dauerhaft Daten übertragen und mitzählen?

> 3. Wie kann ich den kompletten USB Treiber validieren?

Das wird aufwendig, denn dir liegt ja nur der FTDI Treiber an sich vor. 
Du musst dich in dem Fall vermutlich auf die WHQL Tests verlassen. Oder 
mit unter 1. genannter Technik verschiedenste Testfälle abdecken, 
verschiedene Transferlängen, Fehler injizieren, Verbindungsabbruch 
während Datenübertragung simulieren usw.

Wozu soll denn 3. dienen? Ist das eine Praktikumsaufgabe an der 
(Hoch-)Schule?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.