Forum: FPGA, VHDL & Co. SD-Karte Pinbelegung vom DE0 Board?


von peter (Gast)


Lesenswert?

Hallo, guten Tag.

Ich habe am DE0-Board folgende belegungen:
SD_CLK
SD_CMD
SD_DAT0
SD_DAT3
SD_WP_N

Wo gehören jetzt bitte diese Bezeichnungen oben dran:
sdCS   : out std_logic;
sdMOSI : out std_logic;
sdMISO : in std_logic;
sdSCLK : out std_logic;

SD_CLK, nehme an das ist zb CLK_50 vom Board?

Danke.
GRuss

von isidor (Gast)


Lesenswert?

Hallo, guten Tag.

was ist das DE0-Board? Ich kenne nur das ABC-Board.

von Timmo H. (masterfx)


Lesenswert?

Vermutlich das erste Google-Ergebnis: 
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=364

D.h. siehe Seite 38 im User Manual und siehe z.B. 
http://elm-chan.org/docs/mmc/mmc_e.html

von Dennis (sixeck)


Lesenswert?

peter schrieb:
> Hallo, guten Tag.
>
> Ich habe am DE0-Board folgende belegungen:
> SD_CLK
> SD_CMD
> SD_DAT0
> SD_DAT3
> SD_WP_N

Mit nem Multimeter mal reinklingeln ? oder wo stehen die bezeichnungen ?

Vielleicht hilft dir auch dieses PDF weiter ? Da kommen die 
Bezeichnungen wenigstens auch vor ...

http://www.auto-arm.com/UploadFiles/201087142630476.pdf

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

isidor schrieb:
> Ich kenne nur das ABC-Board.
Du kennst auch Peter nicht. Und Peter kennt die Doku für sein Board 
nicht.

@Peter: probiers einfach mal im DE0-Schaltplan auf den Seiten 12 und 
15...
https://www.google.de/search?q=de0+schematics

von peter (Gast)


Lesenswert?

Jup, danke.

Aus der Beschreibung geht nicht hervor welches von diesen:
sdCS   : out std_logic;
sdMOSI : out std_logic;
sdMISO : in std_logic;
sdSCLK : out std_logic;

wo dran gehört. Wo ist da MOSI...MISO.... CS ?

Danke.

Gruss

von peter (Gast)


Lesenswert?

Oder mal so gefragt:

Wie werden diese PIN-Namen unten welchen Pin-Namen zugeordnet:
> SD_CLK
> SD_CMD
> SD_DAT0
> SD_DAT3
> SD_WP_N

-----------------------------------
entity sd_card is
port (
  sdCS      : out std_logic;
  sdMOSI    : out std_logic;
  sdMISO    : in std_logic;
  sdSCLK    : out std_logic;
  n_reset   : in std_logic;
  n_rd      : in std_logic;
  n_wr      : in std_logic;
  dataIn    : in std_logic_vector(7 downto 0);
  dataOut   : out std_logic_vector(7 downto 0);
  regAddr   : in std_logic_vector(2 downto 0);
  clk       : in std_logic;  -- twice the spi clk;
  driveLED  : out std_logic := '1'
);
------------------------------------

Danke.

Gruss

von Markus F. (mfro)


Lesenswert?

Im SPI-Modus (und nur den kann das Ding offensichtlich, weil die anderen 
Pins nicht verbunden sind) müsste

DAT0 = DO (MISO)
CLK = SCLK
CMD = DI (MOSI)
DAT3 = CS

sein.

WP_N ist der Schreibschutzschieber.

von peter (Gast)


Lesenswert?

Jup, danke für die Info.

Gruss

von Schlumpf (Gast)


Lesenswert?

Lothar Miller schrieb:
> Du kennst auch Peter nicht. Und Peter kennt die Doku für sein Board
> nicht.

nice ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.